Skip to main content

Low-Power Design Techniques and Test Implications

  • Chapter
  • First Online:

Abstract

This chapter provides a brief overview of the prevalent design techniques for dynamic and leakage power reduction in both logic and memory circuits. It also provides an introduction to power specification format, which allows specification of circuit properties with respect to power dissipation in a consistent manner. Next, it discusses the impact of existing low-power design techniques on test. Finally, it covers the test implications of the post-silicon adaptation approaches for power reduction.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  • Agarwal A, Chopra K, Baauw D, Zolotov V (2005) Circuit optimization using statistical static timing analysis. In: Proceedings of the design automation conference, June 2005, pp 321–324

    Google Scholar 

  • Allen D (2008) Power formats: you can have it your way. Electronic Design online, id # 18420, 27 March 2008

    Google Scholar 

  • Banerjee N, Raychowdhury A, Roy K, Bhunia S, Mahmoodi H (2006) Novel low-overhead operand isolation techniques for low-power datapath synthesis. IEEE Trans VLSI Syst 14(9):1034–1039

    Article  Google Scholar 

  • Basturkmen NZ, Reddy SM, Pomeranz I (2002) A low power pseudo-random BIST technique. In: Proceedings of international on-line testing workshop, pp 140–144

    Google Scholar 

  • Bhavnagarwala A, Tang X, Meindl JD (2001) The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J Solid-State Circuits 36(4):658–665

    Article  Google Scholar 

  • Bhunia S, Hai L, Roy K (2002) A high performance IDDQ testable cache for scaled CMOS technologies. In: Proceedings of the Asian test symposium, pp 157–162

    Google Scholar 

  • Bhunia S, Mahmoodi H, Ghosh D, Mukhopadhyay S, Roy K (2005) Low-power scan design using first-level supply gating. IEEE Trans VLSI Syst 13(3):384–395

    Article  Google Scholar 

  • Bhunia S, Mahmoodi H, Raychowdhury A, Roy K (2008) Arbitrary two-pattern delay testing using a low-overhead supply gating technique. J Electron Test Theory Appl 24(6):577–590

    Article  Google Scholar 

  • Borkar S, Karnik T, Narendra S, Tschanz J, Keshavarzi A, De V (2003) Parameter variations and impact on circuits and microarchitecture. In: Proceedings of the design automation conference, June 2003, pp 338–342

    Google Scholar 

  • Bushnell ML, Agarwal VD (2000). Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits. Kluwer, Boston, MA

    Google Scholar 

  • Chang H, Sapatnekar SS (2003) Statistical timing analysis considering spatial correlations using a single PERT-like traversal. In: Proceedings of the international conference on computer aided design, Nov. 2003, pp 621–625

    Google Scholar 

  • Cheng K-T, Devadas S, Keutzer K (1991) A partial enhanced-scan approach to robust delay-fault test generation for sequential circuits. In: Proceedings of the international testing conference, Oct. 1991, pp 403–410

    Article  Google Scholar 

  • Cheng K-T, Dey S, Rodgers M, Roy K (2000) Test challenges for deep sub-micron technologies. In: Proceedings of the design automation conference, June 2000, pp 142–149

    Google Scholar 

  • Dabholkar V, Chakravarty S, Pomeranz I, Reddy S (1998) Techniques for minimizing power dissipation in scan and combinational circuits during test application. IEEE Trans Comput Aided Des Integr. Circuits Syst 17(12):1325–1333

    Article  Google Scholar 

  • DasGupta S (2007) Low-power coalition, May 2007. [Online] http://www.si2.org/?page=729

  • DasGupta S, Eichelberger E, Williams TW (1978) LSI chip design for testability. In: Proceedings of the international solid-state circuits conference, Feb. 1978, pp 216–217

    Google Scholar 

  • DasGupta S, Walther RG, Williams TW, Eichelberger EB (1981) An enhancement to LSSD and some applications of LSSD in reliability, availability, and serviceability. In: Proceedings of the international symposium on fault tolerant computing, June 1981, pp 32–34

    Google Scholar 

  • Ernst D, Kim NS, Das S, Pant S, Rao R, Pham T, Ziesler C, Blaauw D, Austin T, Flautner K, Mudge T (2003) Razor: a low-power pipeline based on circuit-level timing speculation. In: Proceedings of the international symposium on microarchitecture, Dec. 2003, pp 7–18

    Google Scholar 

  • Gerstendorfer S, Wunderlich H-J (1999) Minimized power consumption for scan-based BIST. In: Proceedings of the international test conference, Sep. 1999, pp 77–84

    Google Scholar 

  • Ghosh S, Bhunia S, Roy K (2005) Shannon expansion based supply-gated logic for improved power and testability. In: Proceedings of the Asian test symposium, Dec. 2005, pp 404–409

    Google Scholar 

  • Ghosh S, Bhunia S, Roy K (2007) CRISTA: a new paradigm for low-power, variation-tolerant, and adaptive circuit synthesis using critical path isolation. IEEE Trans Comput Aided Des Integr Circuits Syst 26(11):1947–1956

    Article  Google Scholar 

  • Girard P, Landrault C, Pravossoudovitch S, Severac D (1998) Reducing power consumption during test application by test vector ordering. In: Proceedings of the international symposium on circuits and systems, pp 296–299

    Google Scholar 

  • Goering R (2007) IC power standards convergence falters. EETimes, 21 March 2007

    Google Scholar 

  • Hsu C-P (2006) Pushing power forward with a common power format – The process of getting it right. EETimes, 5 Nov. 2006

    Google Scholar 

  • Jacobs ETAF, Berkelaar MRCM (2000) Gate sizing using a statistical delay model. In: Proceedings of the design, automation and test in Europe conference, March 2000, pp 283–290

    Article  Google Scholar 

  • Johnson MC, Somasekhar D, Roy K (1999) Models and algorithms for bounds on leakage in CMOS circuits. IEEE Trans. Comput Aided Des Integr Circuits Syst 18(6):714–725

    Article  Google Scholar 

  • Kang K, Paul BC, Roy K (2005) Statistical timing analysis using levelized covariance propagation. In: Proceedings of the design, automation and test in Europe conference, March 2005, pp 764–769

    Google Scholar 

  • Kim CH, Roy K, Hsu S, Krishnamurthy R, Borkar S (2006) A process variation compensating technique with an on-die leakage current sensor for nanometer scale dynamic circuits. IEEE Trans VLSI Syst 14(6):646–649

    Article  Google Scholar 

  • Krstic A, Wang L-C, Cheng K-T, Liou J-J, Mak TM (2003) Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models. In: Proceedings of the design automation conference, June 2003, pp 668–673

    Google Scholar 

  • Kuppuswamy R, DesRosier P, Feltham D, Sheik R, Thadikaran P (2004) Full hold-scan systems in microprocessors: cost/benefit analysis. Intel Technol J 8(1):63–72

    Google Scholar 

  • Liou J-J, Krstic A, Wang L-C, Cheng K-T (2002) False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation. In: Proceedings of the design automation conference, June 2002, pp 566–569

    Google Scholar 

  • Mak TM, Krstic A, Cheng K-T, Wang L-C (2004) New challenges in delay testing of nanometer, multigigahertz designs. IEEE Des Test Comput 21(3):241–248

    Article  Google Scholar 

  • Mani M, Devgan A, Orshansky M (2005) An efficient algorithm for statistical minimization of total power under timing yield constraints. In: Proceedings of the design automation conference, June 2005, pp 309–314

    Google Scholar 

  • Mao W, Ciletti MD (1994) Reducing correlation to improve coverage of delay faults in scan-path design. IEEE Trans Comput Aided Des Integr Circuits Syst 13(5):638–646

    Article  Google Scholar 

  • McGowen R, Poirier CA, Bostak C, Ignowski J, Millican M, Parks WH, Naffziger S (2006) Power and temperature control on a 90-nm itanium family processor. IEEE J Solid-state Circuits 41(1):229–237

    Article  Google Scholar 

  • Meterelliyoz M, Mahmoodi H, Roy K (2005) A leakage control system for thermal stability during burn-in test. In: Proceedings of the international test conference, Nov. 2005, pp 981–990

    Google Scholar 

  • Mukhopadhyay S, Mahmoodi H, Roy K (2004a) Statistical design and optimization of SRAM for yield enhancement. In: Proceedings of the international conference of computer aided design, Nov. 2004, pp 10–13

    Google Scholar 

  • Mukhopadhyay S, Mahmoodi-Meimand H, Roy K (2004b) Modeling and estimation of failure probability due to parameter variations in nano-scale SRAMs for yield enhancement. In: Proceedings of the Symposium on VLSI Circuits, June 2004, pp 64–67

    Google Scholar 

  • Mukhopadhyay S, Kang K, Mahmoodi H, Roy K (2005) Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring. In: Proceedings of the international test conference, Nov. 2005, pp 1135–1144

    Google Scholar 

  • Paul BC, Neau C, Roy K (2004) Impact of body bias on delay fault testing of nanoscale CMOS circuits. In: Proceedings of the international test conference, Oct. 2004, pp 1269–1275

    Google Scholar 

  • Paul S, Krishnamurthy S, Mahmoodi H, Bhunia S (2007) Low-overhead design technique for calibration of maximum frequency at multiple operating points. In: Proceedings of the international conference of computer aided design, Nov. 2007, pp 401–404

    Article  Google Scholar 

  • Power format requirements version 1.0, 25 Jan 2008. [Online] http://www.si2.org/?page$=$928

  • Rabaey JM, Pedram M (eds) (1995) Low power design methodologies, vol 336. Springer, New York

    Google Scholar 

  • Rao RR, Devgan A, Blaauw D, Sylvester D (2004) Parametric yield estimation considering leakage variability. In: Proceedings of the design automation conference, July 2004, pp 442–447

    Google Scholar 

  • Rosinger PM, Al-Hashimi BM, Nicolici N (2002) Scan architecture for shift and capture cycle power reductions. In: Proceedings of international symposium defect fault tolerance in VLSI systems, Nov. 2002, pp 129–137

    Google Scholar 

  • Roy K, Prasad S (2000) Low-power CMOS VLSI circuit design. Wiley, New York. ISBN 0–471–11488-X

    Google Scholar 

  • Roy K, Mukhopadhyay S, Mahmoodi-Meimand H (2003) Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proc IEEE 91(2):305–327

    Article  Google Scholar 

  • Sankaralingam R, Pouya B, Touba NA (2001) Reducing power dissipation during test using scan chain disable. In: Proceedings of VLSI test symposium, April–May 2001, pp 319–324

    Google Scholar 

  • Savir J (1997) Scan latch design for delay test. In: Proceedings of the international test conference, Nov. 1997, pp 446–452

    Google Scholar 

  • Si2 common power format specification version 1.1, 19 Sep 2008. [Online] http://www.si2.org/?page$=$811

  • Srivastava A, Sylvester D (2004) A general framework for probabilistic low-power design space exploration considering process variation. Proceedings of the international conference of computer aided design, Nov. 2004, pp 808–813

    Google Scholar 

  • Tekumalla RC, Menon PR (1997) Delay testing with clock control: an alternative to enhanced scan. In: Proceedings of the international test conference, Nov. 1997, pp 454–462

    Google Scholar 

  • Tiwari V, Malik S, Ashar P (1998) Guarded evaluation: pushing power management to logic synthesis/design. IEEE Trans Comput Aided Des Integr Circuits Syst 17(10):1051–1060

    Article  Google Scholar 

  • Tschanz JW, Kao JT, Narendra SG, Nair R, Antoniadis DA, Chandrakasan AP, De V (2002) Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE J Solid-state Circuits 37(11):1396–1402

    Article  Google Scholar 

  • Unified power format (UPF) standard version 1.0, 22 Feb 2007, [Online] http://www.accellera.org/apps/group_public/download.php/887/upf.v1.0.pdf

  • Wang S, Gupta S (1998) ATPG for heat dissipation minimization during test application. IEEE Trans Comput 47(2):256–262

    Article  Google Scholar 

  • Wang S, Liu X, Chakradhar ST (2004) Hybrid delay scan: a low hardware overhead scan-based delay test technique for high fault coverage and compact test sets. In: Proceedings of the design, automation and test in Europe conference, Feb. 2004, pp 1296–1301

    Article  Google Scholar 

  • Wei L, Chen Z, Roy K, Johnson MC, Ye Y, De VK (1999) Design and optimization of dual threshold circuits for low-voltage low-power applications. IEEE Trans VLSI Syst 7(1):16–24

    Article  Google Scholar 

  • Whetsel L (2000) Adapting scan architectures for low power operation. In: Proceedings of the international test conference, Oct. 2000, pp 863–872

    Google Scholar 

  • Xu G (2006) Thermal modeling of multi-core processors. In: Tenth intersociety conference on thermal and thermomechanical phenomena in electronics systems, pp 96–100

    Google Scholar 

  • Yeo K-S, Roy K (2005) Low voltage, low power VLSI subsystems. McGraw Hill, New York

    Google Scholar 

  • Yuan L, Qu G (2006) A combined gate replacement and input vector control approach for leakage current reduction IEEE Trans VLSI Syst 14(2):173–182

    Google Scholar 

  • Zhang X, Roy K (2000) Power reduction in test-per-scan BIST. In: Proceedings of the international online testing workshop, July 2000, pp 133–138

    Google Scholar 

  • Zorian Y (1993) A distributed BIST control scheme for complex VLSI devices. In: Proceedings of the IEEE VLSI test symposium, Apr. 1993, pp 4–9

    Google Scholar 

Download references

Acknowledgements

We would like to express our appreciation to Dr. Swaroop Ghosh, Prof. Chris Kim, Mr. Seetharam Narasimhan, and Mr. Rajat Subhra Chakraborty for providing important help with the technical content and presentation of the chapter.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kaushik Roy .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Roy, K., Bhunia, S. (2010). Low-Power Design Techniques and Test Implications. In: Girard, P., Nicolici, N., Wen, X. (eds) Power-Aware Testing and Test Strategies for Low Power Devices. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0928-2_7

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0928-2_7

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0927-5

  • Online ISBN: 978-1-4419-0928-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics