Skip to main content

Power-Aware System-Level Test Planning

  • Chapter
  • First Online:
Power-Aware Testing and Test Strategies for Low Power Devices

Abstract

The high test power consumption, which can be several factors higher than the functional power consumption for which an integrated circuit (IC) is designed, may result in higher overall cost due to yield loss and potentially damaged ICs. As system-on-chips (SOCs) designed in modular fashion are becoming increasingly common, the testing can, in contrast to nonmodular SOCs, be performed in a modular manner. The key advantage is that modular test offers the possibility to plan the testing such that power consumption is controlled; modules are only activated when they are tested. This chapter contains an introduction to core-based testing, which is followed by a discussion on test power consumption and its modeling, and then the chapter discusses power-aware test planning for modular SOCs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • Abadir MS, Breuer MA (1986) Test schedules for VLSI circuits having built-in test hardware. IEEE Trans Comput 35(4):361–367. DOI http://dx.doi.org/10.1109/TC.1986.1676771

    Google Scholar 

  • Aerts J, Marinissen EJ (1998) Scan chain design for test time reduction in core-based ICs. In: Proceedings of IEEE international test conference (ITC), pp 448–457

    Google Scholar 

  • Beenker FPM, Eerdewijk KJE, Gerritsen RBW, Peacock FN, Star MD (1986) Macro testing: unifying ic and board test. IEEE Design Test Comput 3(6):26–32. DOI http://dx.doi.org/ 10.1109/MDT.1986.295048

  • Bhatia S, Gheewala T, Varma P (1996) A unifying methodology for intellectual property and custom logic testing. In: Proceedings of IEEE international test conference (ITC), pp 639–648

    Google Scholar 

  • Bonhomme Y, Girard P, Guiller L, Landrault C, Pravossoudovitch S (2001) A gated clock scheme for low power scan testing of logic ICs or embedded cores. In: Proceedings of IEEE Asian test symposium (ATS), pp 253–258

    Google Scholar 

  • Bonhomme Y, Girard P, Landrault C, Pravossoudovitch S (2002) Power driven chaining of flip-flops in scan architectures. In: Proceedings of IEEE international test conference (ITC), pp 796–803

    Google Scholar 

  • Bouwman F, Oostdijk S, Stans R, Bennetts B, Beenker FPM (1992) Macro testability: the results of production device applications. In: Proceedings of IEEE international test conference (ITC), pp 232–241

    Google Scholar 

  • Butler KM, Saxena J, Fryars T, Hetherington G, Jain A, Lewis J (2004) Minimizing power consumption in scan testing: pattern generation and DFT techniques. In: Proceedings of IEEE international test conference (ITC), pp 355–364

    Google Scholar 

  • Chakrabarty K (2000) Design of system-on-a-chip test access architectures under place-and-route and power constraints. In: Proceedings of ACM/IEEE design automation conference (DAC), pp 432–437. DOI http://doi.acm.org/10.1145/337292.337531

  • Chakravarty S, Thadikaran PJ (1997) Introduction to IDDQ testing. Kluwer, Dordrecht

    Google Scholar 

  • Chou R, Saluja K, Agrawal V (1997) Scheduling tests for VLSI systems under power constraints. IEEE Trans VLSI Syst 5(2):175–185

    Article  Google Scholar 

  • Craig GL, Kime CR, Saluja KK (1988) Test scheduling and control for VLSI built-in self-test. IEEE Trans Comput 37(9):1099–1109. DOI http://dx.doi.org/10.1109/12.2260

  • Dabholkar V, Chakravarty S, Pomeranz I, Reddy S (1998) Techniques for minimizing power dissipation in scan and combinatorial circuits during test application. IEEE Trans Comput Aided Des 17(12):1325–1333

    Article  Google Scholar 

  • Flores P, Costa J, Neto H, Monteiro J, Marques-Silva J (1999) Assignment and reordering of incompletely specified pattern sequences targetting minimum power dissipation. In: Proceedings of IEEE international conference on VLSI design (ICVD), pp 37–41

    Google Scholar 

  • Gattiker A, Nigh P, Grosch D, Maly W (1996) Current signatures for production testing. In: Proceedings of IEEE international workshop on IDDQ testing (IDDQ)

    Google Scholar 

  • Ghosh S, Basu S, Touba NA (2003) Joint minimization of power and area in scan testing by scan cell reordering. In: Proceedings of IEEE computer society annual symposium on VLSI, pp 246–249

    Google Scholar 

  • Girard P, Landrault C, Pravossoudovitch S, Severac D (1997) Reduction of power consumption during test application by test vector ordering. Electron Lett 33(21):1752–1754

    Article  Google Scholar 

  • Goel SK, Marinissen EJ (2002a) Cluster-based test architecture design for system-on-chip. In: Proceedings of IEEE VLSI test symposium (VTS), pp 259–264

    Google Scholar 

  • Goel SK, Marinissen EJ (2002b) Effective and efficient test architecture design for SOCs. In: Proceedings of IEEE international test conference (ITC), pp 529–538

    Google Scholar 

  • Goel SK, Marinissen EJ (2003) SOC test architecture design for efficient utilization of test bandwidth. ACM Trans Des Automat Electron Syst 8(4):399–429. DOI http://doi.acm.org/ 10.1145/944027.944029

  • Gupta RK, Zorian Y (1997) Introducing core-based system design. IEEE Des Test Comput 14(4):15–25. DOI http://dx.doi.org/10.1109/54.632877

    Google Scholar 

  • Harrod P (1999) Testing reusable IP – a case study. In: Proceedings of IEEE international test conference (ITC), p 493

    Google Scholar 

  • He Z, Jervan G, Peng Z, Eles P (2005) Power-constrained hybrid bist test scheduling in an abort-on-first-fail test environment. In: Proceedings of Euromicro conference on digital system design (DSD), pp 83–87. DOI http://dx.doi.org/10.1109/DSD.2005.63

  • Huang Y, Cheng WT, Tsai CC, Mukherjee N, Samman O, Zaidan Y, Reddy SM (2001) Resource allocation and test scheduling for concurrent test of core-based SOC design. In: Proceedings of IEEE Asian test symposium (ATS), pp 265–270

    Google Scholar 

  • Huang Y, Reddy S, Cheng W, Reuter P, Mukherjee N, Tsai C, Samman O, Zaidan Y (2002) Optimal core wrapper width selection and SOC test scheduling based on 3-d bin packing algorithm. In: Proceedings of IEEE international test conference (ITC), pp 74–82

    Google Scholar 

  • IEEE std 1500 – Standard for embedded core test (2005). DOI http://http://grouper.ieee.org/ groups/1500/

  • Immaneni V, Raman S (1990) Direct access test scheme-design of block and core cells for embedded asics. In: Proceedings of IEEE international test conference (ITC), pp 488–492. DOI 10.1109/TEST.1990.114058

    Google Scholar 

  • Iyengar V, Chakrabarty K (2001) Precedence-based, preemptive, and power-constrained test scheduling for system-on-a-chip. In: Proceedings of IEEE VLSI test symposium (VTS), pp 368–374

    Google Scholar 

  • Iyengar V, Chakrabarty K, Marinissen EJ (2001) Test wrapper and test access mechanism co-optimization for system-on-chip. In: Proceedings of IEEE international test conference (ITC), pp 1023–1032

    Google Scholar 

  • Iyengar V, Chakrabarty K, Marinissen E (2002a) Efficient wrapper/TAM co-optimization for large SOCs. In: Proceedings of design, automation, and test in Europe (DATE). IEEE Computer Society, Washington, DC, pp 491–498

    Google Scholar 

  • Iyengar V, Chakrabarty K, Marinissen EJ (2002b) On using rectangle packing for SOC wrapper/TAM co-optimization. In: VTS ’02: Proceedings of the 20th IEEE VLSI test symposium. IEEE Computer Society, Washington, DC, pp 253–258

    Google Scholar 

  • Iyengar V, Chakrabarty K, Marinissen EJ (2002c) Test wrapper and test access mechanism co-optimization for system-on-chip. J Electron Test Theory Appl 18(2):213–230. DOI http://dx.doi.org/10.1023/A:1014916913577

  • Koranne S (2002) A novel reconfigurable wrapper for testing of embedded core-based SOCs and its associated scheduling algorithm. J Electron Test Theory Appl 18(4–5):415–434

    Article  Google Scholar 

  • Koranne S, Iyengar V (2002) On the use of k-tuples for SOC test schedule representation. In: Proceedings of IEEE international test conference (ITC). IEEE Computer Society, Washington, DC, p 539

    Google Scholar 

  • Larsson E (2004) Integrating core selection in the SOC test solution design-flow. In: Proceedings of IEEE international test conference (ITC), pp 1349–1358

    Google Scholar 

  • Larsson E, Fujiwara H (2006) System-on-chip test scheduling with reconfigurable core wrappers. IEEE Trans VLSI Syst 14(3):305–309. DOI http://dx.doi.org/10.1109/TVLSI.2006.871757

    Google Scholar 

  • Larsson E, Peng Z (1999) An estimation-based technique for test scheduling. In: Proceedings of electronic circuits and systems conference

    Google Scholar 

  • Larsson E, Peng Z (2000) A technique for test infrastructure design and test scheduling. In: Proceedings of IEEE design and diagnostics of electronic circuits and systems workshop (DDECS)

    Google Scholar 

  • Larsson E, Peng Z (2001a) An integrated system-on-chip test framework. In: DATE ’01: Proceedings of the conference on design, automation and test in Europe. IEEE, Piscataway, NJ, pp 138–144

    Google Scholar 

  • Larsson E, Peng Z (2001b) Test scheduling and scan-chain division under power constraints. In: Proceedings of IEEE Asian test symposium (ATS), pp 259–264

    Google Scholar 

  • Larsson E, Peng Z (2002a) An integrated framework for the design and optimization of SOC test solutions. J Electron Test Theory Appl 18(4–5):385–400

    Article  Google Scholar 

  • Larsson E, Peng Z (2002b) An integrated framework for the design and optimization of SOC test solutions. In: Chakrabarty K (ed) SOC (system-on-a-chip) testing for plug and play test automation, frontiers in electronics testing, vol 21. Kluwer, Dordrecht, pp 21–36

    Google Scholar 

  • Larsson E, Peng Z (2006) Power-aware test planning in the early system-on-chip design exploration process. IEEE Trans Comput 6(2):227–239

    Article  Google Scholar 

  • Larsson E, Arvidsson K, Fujiwara H, Peng Z (2002) Integrated test scheduling, test parallelization and TAM design. In: Proceedings of IEEE Asian test symposium (ATS), p 397

    Google Scholar 

  • Larsson E, Arvidsson K, Fujiwara H, Peng Z (2004) Efficient test solutions for core-based designs. IEEE Trans Comput Aided Des 23(5):758–775. DOI 10.1109/TCAD.2004.826560

    Article  Google Scholar 

  • Marinissen EJ, Iyengar V, Chakrabarty K (2002) A set of benchmarks for modular testing of SOCs. In: Proceedings of IEEE international test conference, pp 519–528

    Google Scholar 

  • Muresan V, Wang X, Muresan V, Vladutiu M (2000) A comparison of classical scheduling approaches in power-constrained block-test scheduling. In: ITC ’00: Proceedings of the 2000 IEEE international test conference. IEEE Computer Society, Washington, DC, p 882

    Google Scholar 

  • Mureşan V, Wang X, Mureşan V, Vlăduţiu M (2004) Greedy tree growing heuristics on block-test scheduling under power constraints. J Electron Test Theory Appl 20(1):61–78. DOI http://dx.doi.org/10.1023/B:JETT.0000009314.39022.78

    Google Scholar 

  • Nicolici N, Al-Hashimi BM (2003) Power-conscious test synthesis and scheduling. IEEE Design Test Comput 20(4):48–55. DOI http://dx.doi.org/10.1109/MDT.2003.1214352

    Google Scholar 

  • Pouget J, Larsson E, Peng Z (2003a) SOC test time minimization under multiple constraints. In: Proceedings of Asian test symposium (ATS), pp 312–317

    Google Scholar 

  • Pouget J, Larsson E, Peng Z, Flottes M, Rouzeyre B (2003b) An efficient approach to SOC wrapper design, TAM configuration and test scheduling. In: Proceedings of IEEE European test symposium (ETS), pp 51–56

    Google Scholar 

  • Pouget J, Larsson E, Peng Z (2005) Multiple-constraint driven system-on-chip test time optimization. J Electron Test Theory Appl 21(6):599–611. DOI http://dx.doi.org/10.1007/s10836-005-2911-4

    Google Scholar 

  • Rajsuman R (1995) IDDQ testing for CMOS VLSI. Artech Publishing, Italy

    Google Scholar 

  • Rajsuman R (1998) Design for IDDQ testing for embedded cores based sysem-on-chip. In: Proceedings of IEEE international workshop on IDDQ testing (IDDQ), pp 69–73

    Google Scholar 

  • Ravikumar CP, Hetherington G (2004) A holistic parallel and hierarchical approach towards design-for-test. In: Proceedings of IEEE international test conference (ITC), pp 345–354

    Google Scholar 

  • Ravikumar CP, Kumar R (2002) Divide-and-conquer IDDQ testing for core-based system chips. In: Proceedings of international conference on VLSI design (VLSID), pp 761–766

    Google Scholar 

  • Ravikumar CP, Chandra G, Verma A (2000) Simultaneous module selection and scheduling for power-constrained testing of core based systems. In: Proceedings of international conference on VLSI design (VLSID), p 462

    Google Scholar 

  • Ravikumar CP, Dandamudi R, Devanathan VR, Haldar N, Kiran K, Kumar PS (2005) A framework for distributed and hierarchical design-for-test. In: Proceedings of international conference on VLSI design (VLSID), pp 497–503

    Google Scholar 

  • Rosinger PM, Al-Hashimi BM, Nicolici N (2002) Power profile manipulation: a new approach for reducing test application time under power constraints. IEEE Trans Comput Aided Des 21(10):1217–1225

    Article  Google Scholar 

  • Rosinger P, Al-Hashimi B, Chakrabarty K (2005) Rapid generation of thermal-safe test schedules. In: Proceedings of the design, automation and test in Europe conference, pp 840–845

    Google Scholar 

  • Runyon RP, Haber A, Pittenger DJ, Coleman KA (1996) Fundamentals of behavioral statistics, 2nd edn. McGraw-Hill, New York

    Google Scholar 

  • Sachdev M (1997) Deep submicron IDDQ testing: issues and solutions. In: Proceedings of European design and test conference (ED&TC), pp 271–278

    Google Scholar 

  • Samii S, Larsson E, Chakrabarty K, Peng Z (2006) Cycle-accurate test power modeling and its application to SOC test scheduling. In: Proceedings of IEEE international test conference (ITC), pp 1–10. DOI 10.1109/TEST.2006.297693

    Google Scholar 

  • Samii S, Selkälä M, Larsson E, Chakrabarty K, Peng Z (2008) Cycle-accurate test power modeling and its application to SOC test architecture design and scheduling. IEEE Trans Comput Aided Des 27(5):973–977

    Article  Google Scholar 

  • Saxena J, Butler KM, Whetsel L (2001) An analysis of power reduction techniques in scan testing. In: Proceedings of the IEEE international test conference 2001. IEEE Computer Society, Washington, DC, pp 670–677

    Google Scholar 

  • Sehgal A, Bahukudumbi S, Chakrabarty K (2008) Power-aware SOC test planning for effective utilization of port-scalable testers. ACM Trans Des Automat Electron Syst 13(3):1–19. DOI http://doi.acm.org/10.1145/1367045.1367062

  • Senthil AT, Ravikumar CP, Nandy SK (2007) Low-power hierarchical scan test for multiple clock domains. J Low Power Electron 3(1):106–118

    Article  Google Scholar 

  • Singh V, Larsson E (2008) On reduction of capture power for modular system-on-chip test. In: Digest of papers of IEEE workshop on RTL and high level testing (WRTLT)

    Google Scholar 

  • Su CP, Wu CW (2004) A graph-based approach to power-constrained SOC test scheduling. J Electron Test Theory Appl 20(1):45–60. DOI http://dx.doi.org/10.1023/B:JETT.0000009313.23362.fd

    Google Scholar 

  • Touba NA, Pouya B (1997) Using partial isolation rings to test core-based designs. IEEE Des Test Comput 14(4):52–59. DOI http://dx.doi.org/10.1109/54.632881

  • Tudu JT, Larsson E, Singh V, Agrawal V (2009) On capture power reduction for modular system-on-chip test. In: Proceedings of IEEE European test symposium (ETS)

    Google Scholar 

  • Varma P, Bhatia S (1998) A structured test re-use methodology for core-based system chips. In: Proceedings of IEEE international test conference (ITC), pp 294–302

    Google Scholar 

  • Whetsel L (1997) An IEEE 1149.1-based test access architecture for ICs with embedded cores. In: Proceedings of IEEE international test conference (ITC), pp 69–78

    Google Scholar 

  • Xia Y, Chrzanowska-Jeske M, Wang B, Jeske M (2003) Using a distributed rectangle bin-packing approach for core-based SOC test scheduling with power constraints. In: Proceedings of international conference on computer-aided design (ICCAD), pp 100–105. DOI http://dx.doi.org/10.1109/ICCAD.2003.148

  • Xu Q, Nicolici N (2005) Resource-constrained system-on-a-chip test: a survey. Comput Digital Tech, IEE Proc 152(1):67–81

    Article  Google Scholar 

  • Xu Q, Nicolici N, Chakrabarty K (2005) Multi-frequency wrapper design and optimization for embedded cores under average power constraints. In: Proceedings of ACM/IEEE design automation conference (DAC). ACM, New York, NY, pp 123–128. DOI http://doi.acm.org/10.1145/1065579.1065615

  • Yoneda T, Fujiwara H (2002) Design for consecutive testability of system-on-a-chip with built-in self testable cores. J Electron Test Theory Appl 18(4–5):487–501

    Article  Google Scholar 

  • Yoneda T, Masuda K, Fujiwara H (2006) Power-constrained test scheduling for multi-clock domain SOCs. In: Proceedings of design, automation, and test in Europe (DATE), pp 297–302

    Google Scholar 

  • Zhao D, Upadhyaya S (2003) Power constrained test scheduling with dynamically varied TAM. In: Proceedings of IEEE VLSI test symposium (VTS). IEEE Computer Society, Washington, DC, p 273

    Google Scholar 

  • Zorian Y (1993) A distributed BIST control scheme for complex VLSI devices. In: Proceedings of VLSI Test Symposium, pp 4–9

    Google Scholar 

  • Zorian Y (1997) Test requirements for embedded core-based systems and IEEE p1500. In: Proceedings of IEEE international test conference (ITC), p 191

    Google Scholar 

  • Zorian Y (1998) Challenges in testing core-based system chips. IEEE Commun Mag 37(6):104–109

    Google Scholar 

  • Zorian Y, Marinissen EJ, Dey S (1998) Testing embedded-core based system chips. In: Proceedings of IEEE international test conference (ITC), pp 130–143

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Erik Larsson .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Larsson, E., Ravikumar, C.P. (2010). Power-Aware System-Level Test Planning. In: Girard, P., Nicolici, N., Wen, X. (eds) Power-Aware Testing and Test Strategies for Low Power Devices. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0928-2_6

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0928-2_6

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0927-5

  • Online ISBN: 978-1-4419-0928-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics