Skip to main content

EDA Solution for Power-Aware Design-for-Test

  • Chapter
  • First Online:
Power-Aware Testing and Test Strategies for Low Power Devices

Abstract

Previous chapters of this book covered various techniques for testing low-power devices. The objective of this chapter is to help design-for-test experts understand challenges related to implementing these techniques in EDA flows. EDA tools have been constantly challenged with problems related to integrating DFT insertion with logical/physical synthesis and timing closure. Power gating techniques add power as a significant dimension to the complexity. DFT insertion tools must be made power-aware so that DFT logic such as scan can be correctly architected across different power domains and voltage islands. At the same time, any DFT inserted logic must have the minimum possible impact on power consumption. The user has, now more than ever, to be well prepared to make trade-off decisions as each technique brings its new set of constraints and implementation costs. In this chapter, we describe the challenges facing the EDA industry, discuss some existing solutions, and finally, we propose some future directions.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • Beausang J, Ellingham C, Robinson M (1996) Integrating scan into hierarchical synthesis methodologies. Proc IEEE Int Test Conf (ITC 1996), 751–756

    Google Scholar 

  • Brophy D (2008) IEEE P1801 – The unified power format for low power designs. UPF Tutorial at Design Automation and Test in Europe (DATE), http://www.accellera.org/activities/p1801_upf/DATE-UPF-Final_2008.pdf

  • Chakravadhanula K, Chikermane V, Keller B,Gallagher P, Gregor S (2008) Test generation for state retention logic. Proc IEEE 17th Asian Test Symp (ATS 2008), 237–242

    Google Scholar 

  • Chickermane V, Gallagher P, Sage J, Yuan P, Chakravadhanula K (2008) A power-aware test methodology for multi-supply multi-voltage designs. Proc IEEE Int Test Conf (ITC 2008), Paper 9.1

    Google Scholar 

  • CPF (2007) Si2 common power format specifications, http://www.si2.org/, 2007

  • CTL (2006) IEEE 1450.6 standard test interface language (STIL) for digital test vector data – core test language (CTL), IEEE Computer Society, April 2006

    Google Scholar 

  • Czysz D, Kassab M, Lin X, Mrugalski G, Rajski J, Tyszer J (2008) Low power scan shift and capture in the EDT environment. Proc IEEE Int Test Conf (ITC 2008), Paper 13.2, 1–10

    Google Scholar 

  • De Colle A, Ramnath S, Hirech M, Chebiyam S (2005) Power and design for test: a design automation perspective. J Low Power Electronics (JOLPE) 1(1):73–84

    Article  Google Scholar 

  • DevanathanVR, Ravikumar CP, Mehrotra R, Kamakoti V (2007) PMScan: a power-managed scan for simultaneous reduction of dynamic and leakage power during scan test. Proc IEEE Test Int Conf (ITC 2007), Paper 13.3, 1–9

    Google Scholar 

  • DFTMAX (2008) DFT Compiler/DFTMAX User Guide, version B-2008.09

    Google Scholar 

  • ElShoukry M, Tahranipoor M, Ravikumar CP (2005) Partial gating optimization for power reduction during test application. Proc IEEE 14th Asian Test Symp (ATS 2005), 242–247.

    Google Scholar 

  • Gerstendorfer S, Wunderlich H-J (1999) Minimized power consumption for scan-based BIST. Proc IEEE Int Test Conf (ITC 1999), 77–84

    Google Scholar 

  • Goel SK, Meijer M, de Gyvez JP (2006) Testing and diagnosis of power switches in SOCs. Proc IEEE 11th Eur Test Symp (ETS 2006), 145–150

    Google Scholar 

  • Goering R (2008) Automating low power design – a progress report. SCD source, issue 1, http://www.scdsource.com/download.php?=SCDsource_STR_LowPower.pdf

  • Hattori T, Irita T, Ito M, Kato H, Sado G, Yamada Y, Nishiyama K, Yagi H, Koike T, Tsuchihashi Y, Higashida M, Asano H, Hayashibara I, Tatezawa K, Shimazaki Y, Morino N, Hirose K, Tamaki S, Yoshioka S, Tsuchihashi R, Arai N, Akiyama T, Ohno K (2006a) A power management scheme controlling 20 power domains for a single-chip mobile processor. Digest Tech Papers IEEE Int Solid-State Circuits Conf (ISSCC 2006), Paper 29.5, 2210–2219

    Google Scholar 

  • Hattori T, Irita T, Ito M, Yamamoto E, Kato H, Yamada T, Nishiyama K, Yagi H, Koike T, Tsuchihashi Y, Higashida M, Asano H, Hayashibara I, Tatezawa K, Shimazaki S, Morino N, Yasu Y, Hoshi T, Miyairi Y, Yanagisawa K, Hirose K, Tamaki S, Yoshioka S, Ishii T, Kanno Y, Mizuno H, Yamada Y, Irie N, Tsuchihashi R, Arai N, Akiyama T, Ohno K (2006b) Hierarchical power distribution and power management scheme for a single chip mobile processor. Proc IEEE Design Automation Conf (DAC 2006), 292–295

    Google Scholar 

  • Idgunji S (2007) Case study of a low power MTCMOS based ARM926 SoC: design, analysis and test challenges. Proc IEEE Int Test Conf (ITC 2007), Lecture 2.3, 1–10

    Google Scholar 

  • Keating M, Flynn D, Aitken R, Gibbons A, Shi K (2007) Low power methodology manual: for system-on-chip design. Springer, New York, Edition 2007

    Google Scholar 

  • Mrugalski G, Rajski J, Czysz D, Tyszer J (2007) New test data decompressor for low power applications. Proc IEEE Design Automation Conf (DAC 2007), 539–544

    Google Scholar 

  • Ramnath S, Neuveux F, Hirech M, Ng F (2002) Test-model based hierarchical DFT synthesis. Proc IEEE Int Conf Comput Aided Design (ICCAD 2002), 286–293

    Google Scholar 

  • Ravi S (2007) Power-aware test: challenges and solutions. Proc IEEE Int Test Conf (ITC 2007), Lecture 2.2, 1–10

    Google Scholar 

  • Ravikumar CP, Hirech M, Wen X (2008) Test strategies for low power devices. Proc IEEE Design Automation Test Eur (DATE 2008), 728–733

    Google Scholar 

  • Souef L, Eychenne C, Alie E (2008) Architecture for testing multi-voltage domain SOC. Proc IEEE Int Test Conf (ITC 2008), Paper 16.1, 1–10

    Google Scholar 

  • Std-1801 (2009) 1801 – IEEE standard for design and verification of low power integrated circuits. IEEE Computer Society, March 2009

    Google Scholar 

  • Synopsys (2007) Synopsys low-power solution. White paper, June 2007, http://www.synopsys.com/lowpower/wp/lp_solution_wp.pdf

  • TetraMAX (2008) TetraMAX ATPG User Guide, version B-2008.09. Synopsys. Inc., Sept. 2008

    Google Scholar 

  • UPF (2007) Unified Power Format (UPF) Standard, Version 1.0, Feb. 22, 2007, http://www.accellera.org/apps/group_public/download.php/989/upf.v1.0.pdf

  • Zyuban V, Kosonocky SV (2002) Low power integrated scan-retention mechanism. Proc IEEE Int Symp Low Power Electronics Design (ISLPED 2002), 98–102

    Google Scholar 

Download references

Acknowledgements

The author wishes to thank James D. Sproch, Senior Director of Research and Development at Synopsys and recognized expert on low-power design and test issues, for his valuable input and detailed review of this chapter; Prof. Xiaoqing Wen of Kyushu Institute of Technology, Japan, Prof. Nicola Nicolici of McMaster University, Canada and Prof. Patrick Girard of LIRMM, France, for their effort and patience in putting together the book and their review of the manuscript.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mokhtar Hirech .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Hirech, M. (2010). EDA Solution for Power-Aware Design-for-Test. In: Girard, P., Nicolici, N., Wen, X. (eds) Power-Aware Testing and Test Strategies for Low Power Devices. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0928-2_11

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0928-2_11

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0927-5

  • Online ISBN: 978-1-4419-0928-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics