Skip to main content

Clocked Elements

  • Chapter
  • First Online:
Clocking in Modern VLSI Systems

Part of the book series: Integrated Circuits and Systems ((ICIR))

Abstract

One of the most critical design considerations during the planning of any large VLSI structure is the definition and implementation of the clocked storage elements (CSEs) and the circuitry which drives the local clocks to these elements [1–4]. The nature of the solutions adopted will affect almost every aspect of the design, including its manufacturability, testability, reliability, power consumption, and operating frequency, while the complexity and style of latches and flip-flops employed will affect almost every design automation tool, from high level logic simulation methodology and logic synthesis engines, to circuit tools for detailed device tuning, timing, and testability analyses. A modern microprocessor chip may contain from 0.75 to 1.5M latches and flip-flops [5, 6], and clocked elements may account for 30–40% of the total chip AC power dissipation [7, 8]. Furthermore, the delay overhead or latency of these elements is typically in the range of 2–3 FO4 for modern high-speed designs [5, 9] which may account for 10–25% of the design cycle time for designs spanning the range from 10 FO4 (performance-only optimization) up to about 30 FO4, typically the upper end of the range for power performance optimized designs [10]. Thus the CSE definition is of fundamental importance to any VLSI project; the correct selection, optimization, and implementation will be a basic part of the global design strategy. The goal of this chapter is first to provide a high level overview of the design space of these elements covering the basic design metrics, issues, and trade offs, and second to look at several families of CSEs. This will be followed by a more detailed discussion on aspects of test and testability, design robustness against variability, reliability and soft error rate (SER) considerations.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. S. Unger and C.-J. Tan, “Clocking schemes for high-speed digital systems,” IEEE Trans. Comput., vol. 35, no. 10, pp. 880–895, Oct. 1986.

    Google Scholar 

  2. K. Wagner, “Clock system design,” IEEE Des. Test Comput., vol. 5, no. 5, pp. 9–27, Oct. 1988.

    Google Scholar 

  3. V. Oklobdzija, V. Stojanovic, D. Markovic, and N. Nedovic, Digital System Clocking. Wiley-IEEE Press, New York, 2003.

    Book  Google Scholar 

  4. V. Oklobdzija, “Clocking and clocked storage elements in a multi-gigahertz environment,” IBM J. Res. Dev., vol. 47, no. 5/6, pp. 567–583, September/November 2003.

    Google Scholar 

  5. J. Warnock, D. Wendel, T. Aipperspach, E. Behnen, R. Cordes, S. Dhong, K. Hirairi, H. Murakami, S. Onishi, D. Pham, J. Pille, S. Posluszny, O. Takahashi, and H. Wen, “Circuit design techniques for a first-generation Cell broadband engine processor,” IEEE J. Solid-State Circuits, vol. 41, no. 8, pp. 1692–1706, Aug. 2006.

    Google Scholar 

  6. D. Krueger, E. Francom, and J. Langsdorf, “Circuit design for voltage scaling and SER immunity on a quad-core Itanium®; processor,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2008), 2008, pp. 94–95.

    Google Scholar 

  7. J. Friedrich, B. McCredie, N. James, B. Huott, B. Curran, E. Fluhr, G. Mittal, E. Chan, Y. Chan, D. Plass, S. Chu, H. Le, L. Clark, J. Ripley, S. Taylor, J. Dilullo, and M. Lanzerotti, “Design of the POWER6 microprocessor,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2007), 2007, pp. 96–97.

    Google Scholar 

  8. S. Naffziger, G. Colon-Bonet, T. Fischer, R. Riedlinger, T. Sullivan, and T. Grutkowski, “The implementation of the Itanium 2 microprocessor,” IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1448–1460, Nov. 2002.

    Google Scholar 

  9. C. Giacomotto, N. Nedovic, and V. Oklobdzija, “The effect of the system specification on the optimal selection of clocked storage elements,” IEEE J. Solid-State Circuits, vol. 42, no. 6, pp. 1392–1404, June 2007.

    Article  Google Scholar 

  10. V. Zyuban, D. Brooks, V. Srinivasan, M. Gschwind, P. Bose, P. Strenski, and P. Emma, “Integrated analysis of power and performance for pipelined microprocessors,” IEEE Trans. Comput., vol. 53, no. 8, pp. 1004–1016, Aug. 2004.

    Google Scholar 

  11. V. Stojanovic and V. Oklobdzija, “Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems,” IEEE J. Solid-State Circuits, vol. 34, no. 4, pp. 536–548, April 1999.

    Article  Google Scholar 

  12. D. Markovic, B. Nikolic, and R. Brodersen, “Analysis and design of low-energy flip-flops,” in Proceedings of the Low Power Electronics and Design, International Symposium, 6–7 Aug. 2001, pp. 52–55.

    Google Scholar 

  13. V. Zyuban, “Optimization of scannable latches for low energy,” IEEE Trans. VLSI Syst., vol. 11, no. 5, pp. 778–788, Oct. 2003.

    Google Scholar 

  14. J. Tschanz, S. Narendra, Z. Chen, S. Borkar, M. Sachdev, and V. De, “Comparative delay and energy of single edge-triggered and dual edge-triggered pulsed flip-flops for high-performance microprocessors,” in Proceedings of the Low Power Electronics and Design, International Symposium, 6–7 Aug. 2001, pp. 147–152.

    Google Scholar 

  15. M. Hamada, H. Hara, T. Fujita, C. K. Teh, T. Shimazawa, N. Kawabe, T. Kitahara, Y. Kikuchi, T. Nishikawa, M. Takahashi, and Y. Oowaki, “A conditional clocking flip-flop for low power H.264/MPEG-4 audio/visual codec LSI,” in Proceedings of the IEEE Custom Integrated Circuits Conference (CICC 2005), 18–21 Sept. 2005, pp. 527–530.

    Google Scholar 

  16. S. DasGupta, E. Eichelberger, and T. Williams, “LSI chip design for testability,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 1978), 1978, pp. 216–217.

    Google Scholar 

  17. G. Gerosa, S. Gary, C. Dietz, D. Pham, K. Hoover, J. Alvarez, H. Sanchez, P. Ippolito, T. Ngo, S. Litch, J. Eno, J. Golab, N. Vanderschaaf, and J. Kahle, “A 2.2 W, 80 MHz superscalar RISC microprocessor,” IEEE J. Solid-State Circuits, vol. 29, no. 12, pp. 1440–1454, Dec. 1994.

    Google Scholar 

  18. R. Ho, K. Mai, and M. Horowitz, “The future of wires,” Proceedings of the IEEE, vol. 89, no. 4, pp. 490–504, April 2001.

    Article  Google Scholar 

  19. Y. Suzuki, K. Odagawa, and T. Abe, “Clocked CMOS calculator circuitry,” IEEE J. Solid-State Circuits, vol. 8, no. 6, pp. 462–469, Dec 1973.

    Article  Google Scholar 

  20. J. Warnock, J. Keaty, J. Petrovick, J. Clabes, C. Kircher, B. Krauter, P. Restle, B. Zoric, and C. Anderson, “The circuit and physical design of the POWER4 microprocessor,” IBM J. Res. Dev., vol. 46, no. 1, pp. 27–51, January 2002.

    Article  Google Scholar 

  21. D. Lackey, “Efficient latch and clock structures for system-on-chip test flexibility,” in Proceedings of the IEEE International Test Conference ITC ’06, Oct. 2006, pp. 1–7.

    Google Scholar 

  22. B. Stolt, Y. Mittlefehldt, S. Dubey, G. Mittal, M. Lee, J. Friedrich, and E. Fluhr, “Design and implementation of the POWER6 microprocessor,” IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 21–28, Jan. 2008.

    Google Scholar 

  23. I. Lin, J. Ludwig, and K. Eng, “Analyzing cycle stealing on synchronous circuits with level-sensitive latches,” in Proceedings of the ACM/IEEE Design Automation Conference, 8–12 June 1992, pp. 393–398.

    Article  Google Scholar 

  24. E. Shriver, D. Hall, N. Nassif, N. Rahman, N. Rethman, G. Watt, and J. Farrell, “Timing verification of the 21264: A 600 MHz full-custom microprocessor,” in Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors ICCD ’98, 5–7 Oct. 1998, pp. 96–103.

    Google Scholar 

  25. K. Sakallah, T. Mudge, and O. Olukotun, “checkT c and minT c : Timing verification and optimal clocking of synchronous digital circuits,” in Proceedings of the IEEE International Conference on Computer-Aided Design ICCAD-90. Digest of Technical Papers, 11–15 Nov. 1990, pp. 552–555.

    Google Scholar 

  26. T. Szymanski and N. Shenoy, “Verifying clock schedules,” in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design ICCAD-92. Digest of Technical Papers, 8–12 Nov. 1992, pp. 124–131.

    Google Scholar 

  27. T. Burks, K. Sakallah, and T. Mudge, “Identification of critical paths in circuits with level-sensitive latches,” in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design ICCAD-92. Digest of Technical Papers, 8–12 Nov. 1992, pp. 137–141.

    Google Scholar 

  28. B. Curran, “Power-constrained high-frequency circuits for the IBM POWER6 microprocessor,” IBM J. Res. Dev., vol. 51, no. 6, pp. 715–731, November 2007.

    Google Scholar 

  29. M. Matsui, H. Hara, Y. Uetani, L.-S. Kim, T. Nagamatsu, Y. Watanabe, A. Chiba, K. Matsuda, and T. Sakurai, “A 200 MHz 13 mm 2-2D DCT macrocell using sense-amplifying pipeline flip-flop scheme,” IEEE J. Solid-State Circuits, vol. 29, no. 12, pp. 1482–1490, Dec. 1994.

    Google Scholar 

  30. J. Montanaro, R. Witek, K. Anne, A. Black, E. Cooper, D. Dobberpuhl, P. Donahue, J. Eno, W. Hoeppner, D. Kruckemyer, T. Lee, P. Lin, L. Madden, D. Murray, M. Pearce, S. Santhanam, K. Snyder, R. Stehpany, and S. Thierauf, “A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor,” IEEE J. Solid-State Circuits, vol. 31, no. 11, pp. 1703–1714, Nov. 1996.

    Google Scholar 

  31. P. Gronowski, W. Bowhill, R. Preston, M. Gowan, and R. Allmon, “High-performance microprocessor design,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 676–686, May 1998.

    Article  Google Scholar 

  32. B. Nikolic, V. Oklobdzija, V. Stojanovic, W. Jia, J. K.-S. Chiu, and M. Ming-Tak Leung, “Improved sense-amplifier-based flip-flop: design and measurements,” IEEE J. Solid-State Circuits, vol. 35, no. 6, pp. 876–884, June 2000.

    Article  Google Scholar 

  33. Y. Zhang, H. Yang, and H. Wang, “Low clock-swing conditional-precharge flip-flop for more than 30% power reduction,” Electron. Lett., vol. 36, no. 9, pp. 785–786, 2000.

    Article  Google Scholar 

  34. T. Darwish and M. Bayoumi, “Reducing the switching activity of modified SAFF flip-flop for low power applications,” in Proceedings of the 14th International Conference on 2002 – ICM Microelectronics, 11–13 Dec. 2002, pp. 96–99.

    Google Scholar 

  35. J.-C. Kim, Y.-C. Jang, and H.-J. Park, “CMOS sense amplifier-based flip-flop with two N − C 2 MOS output latches,” Electron. Lett., vol. 36, no. 6, pp. 498–500, 16 March 2000.

    Google Scholar 

  36. A. Strollo, D. De Caro, E. Napoli, and N. Petra, “A novel high-speed sense-amplifier-based flip-flop,” IEEE Trans. VLSI Syst., vol. 13, no. 11, pp. 1266–1274, Nov. 2005.

    Google Scholar 

  37. H. Partovi, R. Burd, U. Salim, F. Weber, L. DiGregorio, and D. Draper, “Flow-through latch and edge-triggered flip-flop hybrid elements,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 1996), 1996, pp. 138–139.

    Google Scholar 

  38. N. Nedovic and V. Oklobdzija, “Dynamic flip-flop with improved power,” in Proceedings of the 26th European ESSCIRC Solid-State Circuits Conference ’00, 19–21 Sept. 2000, pp. 376–379.

    Google Scholar 

  39. N. Nedovic and V. Oklobdzija, “Hybrid latch flip-flop with improved power efficiency,” in Proceedings of the 13th Symposium on Integrated Circuits and Systems Design, 18–24 Sept. 2000, pp. 211–215.

    Google Scholar 

  40. F. Klass, C. Amir, A. Das, K. Aingaran, C. Truong, R. Wang, A. Mehta, R. Heald, and G. Yee, “A new family of semidynamic and dynamic flip-flops with embedded logic for high-performance processors,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 712–716, May 1999.

    Article  Google Scholar 

  41. C. Webb, C. Anderson, L. Sigal, K. Shepard, J. Liptay, J. Warnock, B. Curran, B. Krumm, M. Mayo, P. Camporese, E. Schwarz, M. Farrell, P. Restle, I. Averill, R.M., T. Slegel, W. Houtt, Y. Chan, B. Wile, T. Nguyen, P. Emma, D. Beece, C.-T. Chuang, and C. Price, “A 400-MHz S/390 microprocessor,” IEEE J. Solid-State Circuits, vol. 32, no. 11, pp. 1665–1675, Nov. 1997.

    Google Scholar 

  42. R. Heald, K. Aingaran, C. Amir, M. Ang, M. Boland, P. Dixit, G. Gouldsberry, D. Greenley, J. Grinberg, J. Hart, T. Horel, W.-J. Hsu, J. Kaku, C. Kim, S. Kim, F. Klass, H. Kwan, G. Lauterbach, R. Lo, H. McIntyre, A. Mehta, D. Murata, S. Nguyen, Y.-P. Pai, S. Patel, K. Shin, K. Tam, S. Vishwanthaiah, J. Wu, G. Yee, and E. You, “A third-generation SPARC V9 64-b microprocessor,” IEEE J. Solid-State Circuits, vol. 35, no. 11, pp. 1526–1538, Nov. 2000.

    Google Scholar 

  43. W. Belluomini, D. Jamsek, A. Martin, C. McDowell, R. Montoye, T. Nguyen, H. Ngo, J. Sawada, I. Vo, and R. Datta, “An 8 GHz floating-point multiply,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2005), 2005, pp. 374–375, 604.

    Google Scholar 

  44. J. Parkhurst, J. Darringer, and B. Grundmann, “From single core to multi-core: Preparing for a new exponential,” in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design ICCAD ’06, 2006, pp. 67–72.

    Google Scholar 

  45. B. Stackhouse, B. Cherkauer, M. Gowan, P. Gronowski, and C. Lyles, “A 65nm 2-billion-transistor quad-core Itanium®; processor,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2008), 2008, pp. 92–93, 598.

    Google Scholar 

  46. C.-G. Hwang, “New paradigms in the silicon industry,” in Proceedings of the International Electron Devices Meeting IEDM ’06, 11–13 Dec. 2006, pp. 1–8.

    Google Scholar 

  47. D. Josephson, S. Poehlman, V. Govan, and C. Mumford, “Test methodology for the McKinley processor,” in Proceedings of the International Test Conference, 30 Oct.–1 Nov. 2001, pp. 578–585.

    Google Scholar 

  48. R. Molyneaux, T. Ziaja, H. Kim, S. Aryani, S. Hwang, and A. Hsieh, “Design for testability features of the SUN Microsystems Niagara2 CMP/CMT SPARC chip,” in Proceedings of the IEEE International Test Conference ITC 2007, 21–26 Oct. 2007, pp. 1–8.

    Google Scholar 

  49. S. DasGupta, R. Walther, T. Williams, and E. Eichelberger, “An enhancement to LSSD and some applications of LSSD in reliability, availability, and serviceability,” in Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing, ’ Highlights from Twenty-Five Years’, 1995, p. 289.

    Google Scholar 

  50. K.-T. Cheng, S. Devadas, and K. Keutzer, “A partial enhanced-scan approach to robust delay-fault test generation for sequential circuits,” in Proceedings of the International Test Conference, 26–30 Oct 1991, p. 403.

    Google Scholar 

  51. J. Savir and S. Patil, “On broad-side delay test,” IEEE Trans. VLSI Syst., vol. 2, no. 3, pp. 368–372, Sept. 1994.

    Google Scholar 

  52. J. Savir and S. Patil, “Scan-based transition test,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 12, no. 8, pp. 1232–1241, Aug. 1993.

    Google Scholar 

  53. A. Asenov, “Simulation of statistical variability in nano MOSFETs,” in Proceedings of the IEEE Symposium on VLSI Technology, 12–14 June 2007, pp. 86–87.

    Article  Google Scholar 

  54. C. Visweswariah, “Death, taxes and failing chips,” in Proceedings of the Design Automation Conference, 2–6 June 2003, pp. 343–347.

    Google Scholar 

  55. E. Fetzer, “Using adaptive circuits to mitigate process variations in a microprocessor design,” IEEE Design & Test Comput., vol. 23, no. 6, pp. 476–483, June 2006.

    Article  Google Scholar 

  56. G. Roy, A. Brown, F. Adamu-Lema, S. Roy, and A. Asenov, “Simulation study of individual and combined sources of intrinsic parameter fluctuations in conventional Nano-MOSFETs,” IEEE Trans. Electron. Devices, vol. 53, no. 12, pp. 3063–3070, Dec. 2006.

    Google Scholar 

  57. G. Neuberger, F. Kastensmidt, R. Reis, G. Wirth, R. Brederlow, and C. Pacha, “Statistical analysis of systematic and random variability of flip-flop race immunity in 130 nm and 90 nm CMOS technologies,” in Proceedings of the IFIP International Conference on Very Large Scale Integration VLSI - SoC 2007, 15–17 Oct. 2007, pp. 78–83.

    Google Scholar 

  58. F. Klass, A. Jain, G. Hess, and B. Park, “An all-digital on-chip process-control monitor for process-variability measurements,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2008), 3–7 Feb. 2008, pp. 408–409, 623.

    Google Scholar 

  59. T. Karnik and P. Hazucha, “Characterization of soft errors caused by single event upsets in CMOS processes,” IEEE Trans. Dependable Secure Comput., vol. 1, no. 2, pp. 128–143, April–June 2004.

    Google Scholar 

  60. R. Baumann, “Radiation-induced soft errors in advanced semiconductor technologies,” IEEE Trans. Device Mater. Rel., vol. 5, no. 3, pp. 305–316, Sept. 2005.

    Google Scholar 

  61. H. Fukui, M. Hamaguchi, H. Yoshimura, H. Oyamatsu, F. Matsuoka, T. Noguchi, T. Hirao, H. Abe, S. Onoda, T. Yamakawa, T. Wakasa, and T. Kamiya, “Comprehensive study on layout dependence of soft errors in CMOS latch circuits and its scaling trend for 65 nm technology node and beyond,” in Proceedings of the Digest of Technical Papers VLSI Technology 2005 Symposium, 14–16 June 2005, pp. 222–223.

    Article  Google Scholar 

  62. T. Heijmen, P. Roche, G. Gasiot, K. Forbes, and D. Giot, “A comprehensive study on the soft-error rate of flip-flops from 90-nm production libraries,” IEEE Trans. Device Mater. Rel., vol. 7, no. 1, pp. 84–96, March 2007.

    Article  Google Scholar 

  63. F. Wang and V. D. Agrawal, “Single event upset: An embedded tutorial,” in Proceedings of the 21st International Conference on VLSI Design VLSID 2208, 4–8 Jan. 2008, pp. 429–434.

    Google Scholar 

  64. M. Nicolaidis, “Design for soft error mitigation,” IEEE Trans. Device Mater. Rel., vol. 5, no. 3, pp. 405–418, Sept. 2005.

    Google Scholar 

  65. P. Meaney, S. Swaney, P. Sanda, and L. Spainhower, “IBM z990 soft error detection and recovery,” IEEE Trans. Device Mater. Rel., vol. 5, no. 3, pp. 419–427, Sept. 2005.

    Google Scholar 

  66. S. Mitra, M. Zhang, N. Seifert, T. Mak, and K. S. Kim, “Built-in soft error resilience for robust system design,” in Proceedings of the IEEE International Conference on Integrated Circuit Design and Technology ICICDT ’07, May 30 2007–June 1 2007, pp. 1–6.

    Article  Google Scholar 

  67. Y. Dhillon, A. Diril, A. Chatterjee, and A. Singh, “Analysis and optimization of nanometer CMOS circuits for soft-error tolerance,” IEEE Trans. VLSI Syst., vol. 14, no. 5, pp. 514–524, May 2006.

    Article  Google Scholar 

  68. Q. Zhou and K. Mohanram, “Gate sizing to radiation harden combinational logic,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, no. 1, pp. 155–166, Jan. 2006.

    Google Scholar 

  69. A. KleinOsowski, E. Cannon, M. Gordon, D. Heidel, P. Oldiges, C. Plettner, K. Rodbell, R. Rose, and H. Tang, “Latch design techniques for mitigating single event upsets in 65 nm SOI device technology,” IEEE Trans. Nucl. Sci., vol. 54, no. 6, pp. 2021–2027, Dec. 2007.

    Google Scholar 

  70. T. Calin, M. Nicolaidis, and R. Velazco, “Upset hardened memory design for submicron CMOS technology,” IEEE Trans. Nucl. Sci., vol. 43, no. 6, pp. 2874–2878, Dec. 1996.

    Google Scholar 

  71. P. Hazucha, T. Karnik, S. Walstra, B. Bloechel, J. Tschanz, J. Maiz, K. Soumyanath, G. Dermer, S. Narendra, V. De, and S. Borkar, “Measurements and analysis of SER-tolerant latch in a 90-nm dual-Vt CMOS process,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1536–1543, Sept. 2004.

    Google Scholar 

  72. D. Schroder and J. Babcock, “Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing,” J. Appl. Phys., vol. 94, p. 1, 2003.

    Google Scholar 

  73. T. Ning, P. Cook, R. Dennard, C. Osburn, S. Schuster, and H. Yu, “1 μm MOSFET VLSI technology: Part IV – hot-electron design constraints,” IEEE Trans. Electron. Devices, vol. 26, no. 4, pp. 346–353, Apr 1979.

    Article  Google Scholar 

  74. C. Hu, S. C. Tam, F.-C. Hsu, P.-K. Ko, T.-Y. Chan, and K. Terrill, “Hot-electron-induced MOSFET degradation – model, monitor, and improvement,” IEEE J. Solid-State Circuits, vol. 20, no. 1, pp. 295–305, Feb 1985.

    Article  Google Scholar 

  75. J. McPherson, “Reliability trends with advanced CMOS scaling and the implications for design,” in Proceedings of the IEEE Custom Integrated Circuits Conference CICC ’07, 16–19 Sept. 2007, pp. 405–412.

    Google Scholar 

  76. D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, and T. Mudge, “Razor: a low-power pipeline based on circuit-level timing speculation,” in Proceedings of the 36th Annual IEEE/ACM International Symposium on MICRO-36 Microarchitecture, 2003, pp. 7–18.

    Google Scholar 

  77. K. Bowman, J. Tschanz, N. S. Kim, J. Lee, C. Wilkerson, S.-L. Lu, T. Karnik, and V. De, “Energy-efficient and metastability-immune timing-error detection and instruction-replay-based recovery circuits for dynamic-variation tolerance,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2008), 3–7 Feb. 2008, pp. 402–403, 623.

    Google Scholar 

  78. M. Agarwal, B. Paul, M. Zhang, and S. Mitra, “Circuit failure prediction and its application to transistor aging,” in Proceedings of the 25th IEEE VLSI Test Symposium, 6–10 May 2007, pp. 277–286.

    Article  Google Scholar 

  79. D. Blaauw, S. Kalaiselvan, K. Lai, W.-H. Ma, S. Pant, C. Tokunaga, S. Das, and D. Bull, “Razor II: In situ error detection and correction for PVT and SER tolerance,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2008), 2008, pp. 400–401, 622.

    Google Scholar 

  80. T. Nakura, K. Nose, and M. Mizuno, “Fine-grain redundant logic using defect-prediction flip-flops,” in Digest of Technical Papers IEEE International Solid-State Circuits Conference (ISSCC 2007), 2007, pp. 402–403, 611.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer-Verlag US

About this chapter

Cite this chapter

Warnock, J. (2009). Clocked Elements. In: Xanthopoulos, T. (eds) Clocking in Modern VLSI Systems. Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0261-0_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0261-0_3

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0260-3

  • Online ISBN: 978-1-4419-0261-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics