Skip to main content

Nanosystems

  • Chapter
  • First Online:
Book cover Nanosystems Design and Technology

Abstract

This book addresses the impact of nanotechnologies on the design of electronic systems. We use the term “system” in the broad sense, and thus we consider technologies that range from nanoelectronics to sensing and micro-/nanofluidics and that encompass techniques bridging the gap between engineering and biology. We also use the term nanosystem to indicate that the system embodies nanodevices. As the application space of nanosystems is very vast, we will focus on specific topics that exemplify the challenges and opportunities that nanotechnology brings to the system design table.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 149.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. http://www.affymetrix.com/index.affx

  2. Allen J (1995) Natural language understanding. Benjamin Cummings, San Antonio

    MATH  Google Scholar 

  3. Bake D, Church G, Collins J, Endy D, Jacobson J, Keasling J, Modrich P, Smolke C, Weiss R (2006) Engineering life: building a fab for biology. Sci Am 294(6):44–51

    Article  Google Scholar 

  4. Benini L, De Micheli G (2002) Networks on chip: a new design paradigm. IEEE Comput 35:70–78

    Article  Google Scholar 

  5. Ben Jamaa MH, Moselund KE, Atienza D, Bouvet D, Ionescu MA, Leblebici Y, De Micheli G (2008) Variability-aware design of multilevel logic decoders for nanoscale crossbar memories. IEEE Trans Comput Aided Des Integr Circuits Syst 27(11):2053–2067

    Article  Google Scholar 

  6. Bobba S, Zhang J, Pullini A, Atienza D, Mitra S, De Micheli G (2009) Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis. Design, Automation and Test in Europe, DATE 09, 2009, pp. 616–621

    Google Scholar 

  7. Carrara S, Shumyantseva VV, Archakov AI, Samorì B (2008) Screen-printed electrodes based on carbon nanotubes and cytochrome p450scc for highly-sensitive cholesterol biosensors. Biosens Bioelectron 24:148–150

    Article  Google Scholar 

  8. Cerofolini G (2007) Realistic limits to computation II: the technological side. Appl Phys A Mater Sci Process 86(1):31–42

    Google Scholar 

  9. Close GF, Wong H-SP (2007) Fabrication and characterization of carbon nanotube interconnects. IEEE International Electron Devices Meeting (IEDM), Washington, DC, 10–12 December 2007, pp 203–206

    Google Scholar 

  10. Cox RV, Kamm CA, Rabiner LR, Schroeter J, Wilpon JG (2000) Speech and language processing for next-millennium communications services. Proc IEEE 88(8):1314–1337

    Article  Google Scholar 

  11. de Hon A (2003) Array-based architecture for FET-based nanoscale electronics. IEEE Trans Nanotechnol 2(1):23–32

    Article  Google Scholar 

  12. De Micheli G (1994) Synthesis and Optimization of Digital Circuits. McGraw-Hill, Columbus

    Google Scholar 

  13. De Micheli G, Benini L (2006) Networks on Chip. Morgan Kaufmann, San Francisco

    Google Scholar 

  14. Demierre N (2008) Continuous-flow separation of cells in a lab-on-a-chip using liquid electrodes and multiple-frequency dielectrophoresis. PhD Thesis, Lausanne

    Google Scholar 

  15. De Risi J, Penland L, Brown P, Bittner M, Meltler P, Ray M, Chen Y, Su Y, Trent M (1996) Use of a cDNA microarray to analyze gene expression patterns in human cancer. Nat Genet 14(4):457–460

    Article  Google Scholar 

  16. Ecoffey S, Mazza M, Pott V, Bouvet D, Schmid A, Leblebici Y, Declercq MJ, Ionescu AM (2005) A new logic family based on hybrid MOSFET-Polysilicon nano-wires. IEEE International Electron Device Meeting, Washington, DC, December 2005

    Google Scholar 

  17. Ecoffey S, Pott V, Bouvet D, Mazza M, Mahapatra S, Schmid A, Leblebici Y, Declercq MJ, Ionescu AM (2005) Nano-wires for room temperature operated hybrid CMOS-NANO integrated circuits. Digest of Technical Papers IEEE International Solid-State Circuits Conference, 6–10 February 2005, pp 260–262

    Google Scholar 

  18. Guerrier P, Greiner A (2000) A generic architecture for on-chip packet-switched interconnections. Design Automation and Test in Europe Conference, Paris, France, March 2000, pp 250–256

    Google Scholar 

  19. Guiducci C, Stagni C, Zuccheri G, Bogliolo A, Benini L, Samorì A, Riccò B (2004) DNA detection by integratable electronics. Biosens Bioelectron 19:781–787

    Article  Google Scholar 

  20. http://www.itrs.net/

  21. Lehmann U, Sergio M, Pietrocola S, Niclass C, Charbon E, Gijs MAM (2007) 14th International Conference on Solid-State Sensors, Actuators and Microsystems, Transducers’07 and Eurosensors XXI, Lyon, France, 10–14 June 2007

    Google Scholar 

  22. Lehmann U (2008) Manipulation of magnetic microparticles in liquid phases for on-chip biomedical analysis methods. Ph.D Thesis, EPFL

    Google Scholar 

  23. Likharev KK, Strukov DB (2004) Introducing molecular electronics. Springer, Berlin

    Google Scholar 

  24. Manz A, Graber N, Widmer HM (1990) Miniaturized total chemical analysis systems: a novel concept for chemical sensing. Sens Actuators B 31:244–248

    Article  Google Scholar 

  25. Maslov D, Falconer SM (2008) m. Mosca, ‘quantum circuit placement’. IEEE Trans CAD 27(4):752–763

    Google Scholar 

  26. Mihic C, Simunic T, De Micheli G (2007) Power and reliability management of socs. IEEE Trans VLSI 15(4):391–403

    Article  Google Scholar 

  27. Mo F, Brayton R (2002) Whirlpool plas: a regular logic structure and their synthesis. Proc ICCAD, pp 543–550

    Google Scholar 

  28. Moselund KE, Pott V, Bouvet D, Ionescu AM (2008) Hysteretic inverter-on-a-body-tied-wire based on less-than-10mv/decade abrupt punch-through impact ionization MOS PIMOS switch. Proceedings of International Symposium on VLSI Technology, Systems and Applications (2008 VLSI-TSA), Taiwan, 21–23 April 2008

    Google Scholar 

  29. Moselund KE, Bouvet D, Ben Jamaa MH, Atienza D, Leblebici Y, De Micheli G, Ionescu MA (2008) Prospects for logic-on-a-wire. Microelectronic Eng 85(5–6):1406–1409

    Article  Google Scholar 

  30. http://www.nano-tera.ch

  31. Paradiso J, Starner T (2005) Energy scavenging for mobile and wireless electronics. IEEE Pervasive Comput 4(1):18–27

    Article  Google Scholar 

  32. Patil N, Jie D, Wong H-SP, Mitra S (2007) Automated design of misaligned-carbon-nanotube-immune circuits. Proceedings of the Design Automation Conference, June 2007, pp 958–961

    Google Scholar 

  33. Pease A et al (1994) Light-generated oligonucleotide arrays for rapid dna sequencing analysis. Proc Natl Acad Sci 91(11):5022–5026

    Article  Google Scholar 

  34. Pedram M, Rabaey J (2002) Power aware design methodologies. Springer, Berlin

    Book  Google Scholar 

  35. Salvatore GA, Bouvet D, Stolitchnov I, Setter N, Ionescu AM (2008) Low voltage ferroelectric FET with sub-100nm copolymer P(VDF-trfe) gate dielectric for non-volatile 1T memory. ESSDERC 2008, Edinburgh, Scotland, 15–19 September 2008

    Google Scholar 

  36. Schmid A, Leblebici Y (2004) Robust circuit and system design methodologies for nanometer-scale devices and single-electron transistors. IEEE Trans VLSI 12(11):1156–1166

    Article  Google Scholar 

  37. Shende VV, Prasad AK, Markov IL, Hayes JP (2003) Synthesis of reversible logic circuits. IEEE Trans CAD 22(6):710–722

    Google Scholar 

  38. Stagni C, Esposti D, Guiducci C, Paulus C, Schienle M, Maugustyniak, Zuccheri G, Samori B, Benini L, Ricco B, Thewes R (2006) Fully electronic CMOS DNA detection array based on capacitance meausurement with on-chip analog to digital conversion. Proceedings ISSC, San Francisco, 2006, pp. 69–78

    Google Scholar 

  39. Stolichnov I, Riester SWE, Trodahl HJ, Setter N, Rushforth AW, Edmonds KW, Campion RP, Foxon CT, Gallagher BL, Jungwirth T (2008) Non-volatile ferroelectric control of ferromagnetism in (Ga, Mn)As. Nat Mater 7(6):464–467

    Article  Google Scholar 

  40. http://www.tilera.com

  41. Tüdos A, Besselink G, Schasfoor X (2001) Trends in miniaturized total analysis systems for point-of-care testing in clinical chemistry. Lab Chip 1:83–95

    Article  Google Scholar 

  42. Vangal SR et al (2007) An 80-Tile 1.28TFLOPS network-on-chip in 65nm CMOS. Proceedings of the International Solid-State Circuits Conference, 11–15 Febrauary 2007, pp 98–99

    Google Scholar 

  43. Vangal SR, Howard J, Ruhl G, Dighe S, Wilson H, Tschanz J, Finan D, Singh A, Jacob T, Jain S, Erraguntla V, Roberts C, Hoskote Y, Borkar N, Borkar S (2008) An 80-tile sub-100-W teraflops processor in 65-nm CMOS. IEEE J Solid State Circuits 43(1):29–41

    Article  Google Scholar 

  44. Vankamamidi V, Ottavi M, Lombardi F (2008) Two-dimensional schemes for clocking/timing of QCA circuits. IEEE Trans CAD 27(1):34–44

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Giovanni De Micheli .

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

De Micheli, G. (2009). Nanosystems. In: Nanosystems Design and Technology. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0255-9_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0255-9_1

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0254-2

  • Online ISBN: 978-1-4419-0255-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics