Skip to main content

An Extension to VHDL-AMS for AMS Systems with Partial Differential Equations

  • Chapter
Embedded Systems Specification and Design Languages

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 10))

  • 689 Accesses

Abstract

This paper proposes VHDL-AMS syntax extensions that enable descriptions of AMS systems with partial differential equations. We named the extended language VHDL-AMSP. An important specific need for such extensions arises from the well known MEMS modelling difficulties where complex digital and analogue electronics interfaces with distributed mechanical systems. The new syntax allows descriptions of new VHDL-AMS objects, such as partial quantities, spatial coordinates and boundary conditions. Pending the development of a new standard, a suitable pre-processor has been developed to convert VHDL-AMSP into the existing VHDL-AMS 1076.1 standard automatically. The pre-processor allows development of models with partial differential equations using currently available simulators. As an example, a VHDL-AMSP description for the sensing element of a MEMS accelerometer is presented, converted to VHDL-AMS 1076.1 and simulated in SystemVision.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Christen E and Bakalar K (1999) VHDL-AMS–a hardware description language for analog and mixed signal applications. Circuits and Systems II: Analog and Digital Signal Processing, IEEE Transactions on, 46(10):1263–1272

    Article  Google Scholar 

  2. Mahne T, Kehr K, Franke A, Hauer J, and Schmidt B (2005) Creating virtual prototypes of complex micro-electro-mechanical transducers using reduced order modelling methods and VHDL-AMS. In Forum on Specification and Design Languages, Proceedings, pages 27–30

    Google Scholar 

  3. Schlegel M, Bennini F, Mehner JE, Herrmann G, Muller D, and Dotzel W (2005) Analyzing and simulation of MEMS in VHDL-AMS based on reduced-order FE models. Sensors Journal, IEEE, 5(5):1019–1026

    Article  Google Scholar 

  4. Shi C-J and Vachoux A (1995) VHDL-AMS design objectives and rationale. Current Issues in Electronic Modeling, Kluwer Academic Publishers, 2:1–30

    Google Scholar 

  5. Nikitin PV, Shi CR, and Wan B (2003) Modeling partial differential equations in VHDL-AMS. In Systems-on-Chip Conference, 2003. Proceedings. IEEE International, pages 345–348

    Google Scholar 

  6. Bushyager N, Tentzeris MM, Gatewood L, and DeNatale J (2001) A novel adaptive approach to modeling MEMS tunable capacitors using MRTD and FDTD techniques. In Microwave Symposium Digest, 2001 IEEE MTT-S International, volume 3, pages 2003–2006

    Google Scholar 

  7. Saldamli L, Fritzson P, and Bachmann B (2002) Extending Modelica for partial differential equations. In 2nd International Modelica Conference, proceedings, pages 307–314

    Google Scholar 

  8. Proposed Verilog-A language extensions for compact modeling (2004) http://www.eda.org/verilogams/htmlpages/compact.html

  9. Nikitin PV, Normark E, and Shi C-JR (2003) Distributed electrothermal modeling in VHDL-AMS. In Behavioral Modeling and Simulation, 2003. BMAS 2003. Proceedings of the 2003 International Workshop on, pages 128–133

    Google Scholar 

  10. Dong Y, Kraft M, Gollasch C, and Redman-White W (2005) A high-performance accelerometer with a fifth-order sigma-delta modulator. Journal of Micromechanics and Microengineering, 15:1–8

    Article  Google Scholar 

  11. Southampton VHDL-AMS Validation Suite (2007) http://www.syssim.ecs.soton.ac.uk/

  12. Evans G, Blackledge J, and Yardley P (1999) Numerical methods for partial differential equations. Springer, London

    Google Scholar 

  13. Seeger JI, Xuesong J, Kraft M, and Boser BE (2000) Sense finger dynamics in a SD force feedback gyroscope. In Tech. Digest of Solid State Sensor and Actuator Workshop, pages 296–299

    Google Scholar 

  14. Liu Y, Liew KM, Hon YC, and Zhang X (2005) Numerical simulation and analysis of an electroactuated beam using a radial basis function. Smart Materials and Structures, 14(6):1163–1171

    Article  Google Scholar 

  15. Mentor Graphics Corporation (2004) SystemVision User’s Manual. Version 3.2, Release 2004.3

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer Science + Business Media B.V

About this chapter

Cite this chapter

Wang, L., Zhao, C., Kazmierski, T.J. (2008). An Extension to VHDL-AMS for AMS Systems with Partial Differential Equations. In: Villar, E. (eds) Embedded Systems Specification and Design Languages. Lecture Notes in Electrical Engineering, vol 10. Springer, Dordrecht. https://doi.org/10.1007/978-1-4020-8297-9_9

Download citation

  • DOI: https://doi.org/10.1007/978-1-4020-8297-9_9

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-1-4020-8296-2

  • Online ISBN: 978-1-4020-8297-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics