Skip to main content

Abstract

As the integrated circuit technology nodes reach 45 nm and beyondgrowing requirement for reduced propagation delay dictates inclusion of low-k materials in the interconnect metallization structures. Unfortunately, mechanical properties, such as hardness and Young’s modulus of the dielectric materials, deteriorate as their porosity is increased and the k value is reduced to 2.5 and below [1]. Reliability issues such as electromigration, stress migration, and time-dependent dielectric breakdown (TDDB) lifetimes are also becoming more challenging for multi-stack low-k structures. The low-k and ultra low-k materials are prone to delamination [2] and cracking [3] during CMP; risk of damage rising as the polishing pressure and time increases [4]. It has been demonstrated that delamination in low-k stacks was driven by the work done against the friction force during the CMP process [5]. Therefore, it is becoming more and more difficult to polish and planarize topographic copper layers, deposited on low-k dielectric materials, at low stress and high rate while maintaining the mechanical integrity of the overall interconnect structure. Furthermore as feature widths and depths shrink, tolerances for metal loss and line resistance variation over the wafer surface are also reduced. In advanced interconnects, adding sacrificial thickness to the dielectric layer which can then be removed during CMP overpolish step is not a good option to minimize topography because hard cap layers are often used to protect the low-k dielectric materials from the negative effects of CMP [6, 7] and thickness of these layers is kept to a minimum to reduce their contribution to the effective dielectric constant of the stack. Therefore, as technology nodes move beyond 45 nm, planarization steps of the interconnect manufacturing process flow are expected to offer reduced stress, higher planarization efficiency, reduced copper dishing, less dielectric erosion, better global line resistance uniformity, while at the same time maintaining high process throughput, low defectivity, and low cost.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Plawsky, J. L.; Gill, W. N.; Jain, A.; and Rogojevic, S.: In: Interlayer Dielectrics for Semiconductor Technologies. Murarka, S. P.; Eizenberg, M.; and Sinha, A. K.;(eds.) Elsevier Academic Press, UK, 261 (2003)

    Chapter  Google Scholar 

  2. Kloster, G.; Scherban, T.; Xu, G.; Blaine, J.; Sun, B.; and Zhou, Y.: Porosity effects on low-k dielectric film strength and interfacial adhesion, Proc. International Interconnect Technology Conf.,IEEE, San Francisco 242 (2002)

    Google Scholar 

  3. Maitrejean, S.; Fusalba, F.; Patz, M.; Jousseaume, V.; and Mourier, T.: Adhesion studies of thin films on ultra low-k, Proc. International Interconnect Technology Conf., IEEE, San Francisco, 206 (2002)

    Google Scholar 

  4. Kondo, S.; Tokitoh, S.; Yoon, B. U.; Namiki, A.; Sone, A.; Ohashi, N.; Misawa, K.; Sone, S.; Shin, H. J.; Yoshie, T.; Yoneda, K.; Shimada, M.; Ogawa, S.; Matsumoto, I.; and Kobayashi, N. S.: Low pressure CMP for reliable porous low-k/Cu integration, Proc. International Interconnect Technology Conf., IEEE, San Francisco, 86 (2003)

    Google Scholar 

  5. Leduc, P.; Savoye, M.; Maitrejean, S.; Scevola, D.; Jousseaume, V.; and PassemardG.: Understanding CMP-induced delamination in ultra low-k/Cu integration, Proc. International Interconnect Technology Conf.,IEEE, San Francisco, 209 (2005)

    Google Scholar 

  6. Wang, X. B.; Tan, J. B.; Siew, Y. K.; Zhang, B. C.; Liu, W. P.; Zhang, F.; Leong, L. S.; Roy, R.; and Hsia, L. C.: Integration of Cu-CMP process with combination of abrasive free copper polishing and low selective barrier polishing for 90 nm Cu/low-k interconnect, AMC 2004 (Materials Research Society) 571 (2005)

    Google Scholar 

  7. Yamada, Y.; Konishi, N.; Watanabe, S.; Noguchi, J.; Jimbo, T.; and Inoue, O.: Study on the degradation of TDDB reliability for Cu/low-k integration caused by Cu CMP process, CMP-MIC Conference, IMIC, 567 (2005)

    Google Scholar 

  8. Konishi, N.; Yamada, Y.; Noguchi, J.; and Tanaka, U.: Improvement in Cu-CMP technology for 90-nm nodes, AMC 2003, Materials Research Society, 127 (2004)

    Google Scholar 

  9. Belov, I.; Kim, J. Y.; Moser, T.; and Pierce, K.: Novel low-abrasive slurries and abrasive-free solutions for copper CMP, CMP-MIC Conference, IMIC, 300 (2005)

    Google Scholar 

  10. Kondo, S.; Sakuma, N.; Homma, Y.; Goto, Y.; Ohashi, N.; Yamaguchi, H.; and Owada, N.: Abrasive-Free Polishing for Copper Damascene Interconnection, J. Electrochem. Soc. 147, 3907 (2000)

    Article  CAS  Google Scholar 

  11. Matsuda, T.; Takahashi, H.; Tsurugaya, M.; Miyazaki, K.; Doy, T. K.; and Kinoshita, M.: Characteristics of Abrasive-Free Micelle Slurry for Copper CMP, J. Electrochem. Soc. 150, G532 (2003)

    Article  CAS  Google Scholar 

  12. Landolt, D.: Fundamental aspects of electropolishing, Electrochim. Acta 32, 1 (1987).

    Article  CAS  Google Scholar 

  13. Datta, M.: Anodic dissolution of metals at high rates, IBM J. Res. Dev. 37, 207 (1993)

    Article  CAS  Google Scholar 

  14. Vidal, R.; and West, A. C.: Copper electropolishing in concentrated phosphoric acidJ. Electrochem. Soc. 142, 2682 (1995)

    Article  CAS  Google Scholar 

  15. Bernhardt, A.; and Contolini, R.: Electrochemical Planarization, US Pat. No. 5,256,565 (1993)

    Google Scholar 

  16. Contolini, R.; Bernhardt, A. F.; and Mayer, S. T.: Electrochemical planarization for multilevel metallization, J. Electrochem. Soc. 141, 2503 (1994)

    Article  Google Scholar 

  17. Contolini, R. J.; Mayer, S. T.; Graff, R. T.; Tarte, L.; and Bernhardt, A. F.: Electrochemical planarization of ULSI copper, Solid State Technology 155 (1997)

    Google Scholar 

  18. Lopatin, S.; Preusse, A.; and Cheung, R.: Interconnect and Contact Metallization for ULSI. Arita, Y.; MathadG. S.; and Rathore, H. R., Eds. Electrochemical Society, New Jersey, 221 (1999)

    Google Scholar 

  19. Chang, S. H.; Shieh, J. M.; Huang, C. C.; Dai, B. T.; Li, Y. H.; and Feng, M. S.: Microleveling mechanism and applications of electropolishing on planarization of copper metallization. J. Vac. Sci. Technol. B 20, 2149 (2002)

    Article  CAS  Google Scholar 

  20. Padhi, D.; Yahalom, J.; Gandikota, S.; and Dixit, G.: Planarization of copper thin films by electropolishing in phosphoric acid for ULSI applications. J. Electrochem. Soc. 150, G10 (2003)

    Article  CAS  Google Scholar 

  21. Chang, S. C.; Shieh, J. M.; Dai, B. T.; Feng, M. S.; Li, Y. H.; Shih, C. H.; Tsai, H. M.; Shue, S. L.; Liang, R. S.; and Wang, Y. L.: Superpolishing for planarizing copper Damascene interconnects, Electrochem. Solid State Lett. 6, G72 (2003)

    Article  CAS  Google Scholar 

  22. Chang, S. C. and Wang, Y. L.: Effects of applied voltages on planarization efficiency of copper electropolishing. J. Vac. Technol. B 22, 2754 (2004)

    Article  CAS  Google Scholar 

  23. Huo, J.; Solanki, R.; and McAndrew, J.: Study of anodic layers and their effects on electropolishing of bulk and electroplated films of copper. J. Appl. Electrochem. 34, 305 (2004)

    Article  CAS  Google Scholar 

  24. Du, B. and Suni, I. I.: Mechanistic studies of Cu electropolishing in phosphoric acid electrolytes. J. Electrochem. Soc. 151, C375 (2004)

    Article  CAS  Google Scholar 

  25. Liu, S. H.; Shieh, J. M.; Chen, C.; Dai, B. T.; Hensen, K.; and Cheng, S. S.: Two-additive electrolytes for superplanarizing Damascene copper metals, Electrochem. Solid-State Lett. 8, C47 (2005)

    Article  CAS  Google Scholar 

  26. Huo, J.; Solanki, R.; and McAndrew, J.: A novel electroplanarization system for replacement of CMP. Electrochem. Solid-State Lett. 8, C33 (2005)

    Article  CAS  Google Scholar 

  27. Wang, H.: Methods and apparatus for electropolishing metal interconnections on semiconductor devices, US Pat. No. 6,837,984 (2005)

    Google Scholar 

  28. West, A. C.; Shao, I.; and Deligianni, H.: Numerical simulation of electrochemical planarization of copper overburden. J. Electrochem. Soc. 152, C652 (2005)

    Article  CAS  Google Scholar 

  29. Suni, I. I. and Du, B.: Copper planarization for ULSI processing by electrochemical methods: a review. IEEE Trans. Semicond. Manuf. 18, 341 (2005)

    Article  Google Scholar 

  30. West, A. C.; Deligianni, H.; and Andricacos, P. C.: Electrochemical polishing of interconnect metallization. IBM J. Res. Devel. 49, 37 (2005)

    Article  CAS  Google Scholar 

  31. Singer, P.: Copper challenges for the 45 nm node. Semicond. Int. 27, 40 (2004)

    Google Scholar 

  32. Talieh, H.: Method and apparatus for electrochemical mechanical deposition. U.S. Patent No. 6,176,992 (2001)

    Google Scholar 

  33. Uzoh, C. E.; Talieh, H. B. Basol, M.; and Young, D. W.: Workpiece proximity plating apparatus. U.S. Patent No. 6,630,059 (2003)

    Google Scholar 

  34. Basol, B.M.: Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence. U.S. Patent No. 6,534,116 (2003)

    Google Scholar 

  35. Basol, B. M.; Uzoh, C. E.; Talieh, H.; Wang, T.; Guo, G.; Erdemli, S.; Cornejo, M.; Bogart, J.; and Basol, E.C.: Planar copper electroplating and electropolishing techniques. Chem. Eng. Comm. 193(7), 903 (2006)

    Article  CAS  Google Scholar 

  36. Noji, I.; Kobata, I.; Yasuda, H.; Izumi, T.; Kumekawa, M.; Wada, Y.; Fukunaga, A.; Tsujimura, M.; Toma, Y.; Suzuki, T.; and Saitoh, T.: Application of electro-chemical polishing in DI water to Cu Damascene wiring planarization process, AMC 2004 (Materials Research Society), 577 (2005)

    Google Scholar 

  37. Wada, Y.; Noji, I.; Kobata, I.; Kohama, T.; Fukunaga, A.; and Tsujimura, M.: The enabling solution of Cu/low-k planarization technology, Proc. International Interconnect Technology Conf.,(IEEE, San Francisco, 126 (2005)

    Google Scholar 

  38. Mazur, S.; Jackson, C. E.; and Foggin, G. W.: Membrane-mediated electropolishing of Damascene copper, Proc. International Interconnect Technology Conf., IEEE, San Francisco, 206 (2005)

    Google Scholar 

  39. Dow, W. P. and Huang, H. S.: Roles of chloride ion in microvia filling by copper electrodeposition. J. Electrochem. Soc., 152, C67 (2005).

    Google Scholar 

  40. Andricacos, P. C.; Uzoh, C.; Ducovic, J.O.; Horkans, J.; and Deligianni, H.: Damascene copper electroplating for chip interconnections. IBM J. Res. Dev. 42, 567 (1998)

    Article  CAS  Google Scholar 

  41. West, A. C.: Theory of filling of high aspect ratio trenches and vias in presence of additives. J. Electrochem. Soc. 147, 227 (2000)

    Article  CAS  Google Scholar 

  42. ReidJ. and Mayer, S.: Factors influencing fill of IC features using electroplated copper, AMC 1999, (Materials Research Society), 53 (2000)

    Google Scholar 

  43. Josell, D.; Wheeler, D.; Huber W. H.; and Moffat, T. P.: Superconformal electrodeposition in submicron features. Phys. Rev. Lett. 87, 016102-1 (2001)

    Google Scholar 

  44. Moffat, T. P.; Wheeler, D.; Huber, W.H.; and Josell, J.: Superconformal electrodeposition of copper, Electrochem. Solid-State Lett. 4, C26 (2001)

    Article  CAS  Google Scholar 

  45. Basol, B. M.; Uzoh, C.; Talieh, H.; Young, D.; Lindquist, P.; Wang, T.; and Cornejo, M.: ECMD technique for semiconductor interconnect applications. Microelectron. Eng. 64, 43 (2002)

    Article  CAS  Google Scholar 

  46. Basol, B. M.: Mechanically induced super-filling of low aspect ratio cavities in an electrochemical mechanical deposition process. J. Electrochem. Soc. 151, C765 (2004)

    Article  CAS  Google Scholar 

  47. Basol, B. M.; Erdemli, S.; Uzoh, C.; and Wang, T.: Planarization efficiency of electrochemical mechanical deposition and its dependence on process parameters. J. Electrochem. Soc. 153(3), C176 (2006)

    Article  CAS  Google Scholar 

  48. Basol, B. M. and West, A. C.: Study on mechanically induced current suppression and super filling mechanisms. Electrochem. Solid-State Lett. 9(4), C77 (2005)

    Article  Google Scholar 

  49. Cao, Y.; Taephaisitphongse, P.; Chalupa, R.; and West, A. C.: Three-additive model of super-filling of copper. J. Electrochem. Soc. 148, C466 (2001)

    Article  CAS  Google Scholar 

  50. Uzoh, C.; Basol, B.; and Talieh, H.: Pad designs and structures for a versatile materials processing apparatus. US Patent No. 6,413,388 (2002)

    Google Scholar 

  51. Basol, B. M.; Uzoh, C. E.; and Bogart, J. A.: Low-force electrochemical mechanical processing method and apparatus, US Patent Publication No. 2003/0064669 (2003)

    Google Scholar 

  52. Mourier, T.; Haxaire, K.; Cordeau, M.; Chausse, P.; DaSilva, S.; and Torres, J.: Electrochemical mechanical deposition and reverse linear planarization of copper for 45 nm node ULK integration, AMC 2004, (Materials Research Society), 597 (2005)

    Google Scholar 

  53. Moffat, T. P.; Wheeler, D.; Witt, C.; and Josell, D.: Superconformal electrodeposition using derivitized substrates. Electrochem. Solid-State Lett. 5, C110 (2002)

    Article  CAS  Google Scholar 

  54. Taephaisitphongse, P.; Cao, Y.; and West, A.: Electrochemical and fill studies of a multicomponent additive package for copper deposition. J. Electrochem. Soc. 148, C492 (2001)

    Article  CAS  Google Scholar 

  55. Stickney, B.; Nguyen, B.; Basol, B.; Uzoh, C.; and Talieh, H.: Topography reduction for copper Damascene interconnects. Solid State Technol. 46, 49 (2003)

    CAS  Google Scholar 

  56. Vos, I.; Heylen, N.; Hernandez, J. L.; Wang, T.; Truong, T.; Basol, B.; Sprey, H.; and Vanhaelemeersch, S.: Influence of Copper Plating and Die Layout on the Copper CMP Performance, AMC 2005: Asian Session, Tokyo, Japan (2005)

    Google Scholar 

  57. Aksu, S. and Doyle, F. M.: The role of glycine in the CMP of copper. J. Electrochem. Soc. 149, G352 (2002)

    Article  CAS  Google Scholar 

  58. Tsai, C. S. and Tseng, P. N.: Chemical mechanical planarization apparatus and polishing methodUS Patent No. 5,575,706 (1996)

    Google Scholar 

  59. Uzoh, C. E. and Harper, J. M. E.: Method of electrochemical mechanical planarization. US Patent No. 5,807,165 (1998)

    Google Scholar 

  60. Sato, S.; Yasuda, Z.; Ishihara, M.; Komai, N.; Ohtorii, H.; Yoshio, A.; Segawa, Y.; Horikoshi, H.; Ohoka, Y.; Tai, K.; Takahashi, S.; and Nogami, T.: Newly developed electrochemical polishing process of copper as replacement of CMP suitable for Damascene copper inlaid in fragile low-k dielectrics, IEDM 2001 (IEEE), 4.4.1-4.4.4. (2001)

    Google Scholar 

  61. Sun, L.; Tsai, S. D.; and Redeker, F. C.: Method and apparatus for electrochemical mechanical planarization, US Patent No. 6,379,223 (2002)

    Google Scholar 

  62. Economikos, L.; Wang, X.; Sakamoto, A.; Ong, P.; Naujok, M.; Knarr, R.; Chen, L.; Moon, Y.; Neo, S.; Salfelder, J.; Duboust, A.; Manens, A.; Lu, W.; Shrauti, S.; Liu, F.; Tsai, S.; and Swart, W.: Integrated electro-chemical mechanical planarization for future generation device technology, Proc. International Interconnect Technology Conf., IEEE, San Francisco, 233 235 (2004)

    Google Scholar 

  63. Sakamoto, A.; Economikos, L.; Ong, P.; Naujok, M.; Tseng, W.; Moon, Y.; Salfelder, J.; Duboust, A.; and Nogami, T.: Electro-chemical mechanical planarization and its evaluation on BEOL with 65 nm node dimensions, CMP-MIC Conference (IMIC), 191–199 (2005)

    Google Scholar 

  64. Manens, A.; Miller, P.; Kollata, E.; and Duboust, A.: Advanced process control extends ECMP process consistency, Solid State Technology, February 2006.

    Google Scholar 

  65. Emesh, I.; Khosla, V.; Erdemli, S.; Emami, R.; and Basol, B. M.: Thin and planar copper layers for advanced interconnect fabrication, AMC 2005 (Materials Research Society), 501 (2006)

    Google Scholar 

  66. Duboust, A.; Wang, Y.; Liu, F.; and Hsu, W. Y.: http://www.eurosemi.eu.com. (2005)

  67. Talieh, H.; Uzoh, C.; and Basol, B. M.: Device providing electrical contact to the surface of a semiconductor workpiece during metal plating, US Patent No. 6,497,800 (2002)

    Google Scholar 

  68. Talieh, H. and Basol, B.: Method for forming an electrical contact with a semiconductor substrate, US Patent No. 6,471,847 (2002)

    Google Scholar 

  69. Kondo, S.; Tominaga, S.; Namiki, A.; Yamada, K.; Abe, D.; Fukaya, K.; Shimada, M.; and Kobayashi, N.: Novel electrochemical mechanical planarization using carbon polishing pad to achieve robust ultra low-k/Cu integration, Proceedings of the International Interconnect Technology Conf., IEEE, San Francisco, 203 (2005)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bulent M. Basol .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Basol, B.M. (2009). Advanced Planarization Techniques. In: Shacham-Diamand, Y., Osaka , T., Datta, M., Ohba, T. (eds) Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications. Springer, New York, NY. https://doi.org/10.1007/978-0-387-95868-2_31

Download citation

Publish with us

Policies and ethics