Skip to main content

Part of the book series: Series on Integrated Circuits and Systems ((ICIR))

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. L. Clark et al., “An Embedded 32b Microprocessor Core for Low-Power and High-Performance Applications,” IEEE Journal of Solid-State Circuits, Vol. 36, pp. 1599–1608, November 2001.

    Article  Google Scholar 

  2. M. Meijer, F. Pessolano, and J. P. de Gyvez, “Limits to Performance Spread Tuning Using Adaptive Voltage and Body Biasing,” International Symposium on Circuits and Systems, pp. 5–8, May 2005.

    Google Scholar 

  3. J. T. Kao, M. Miyazaki, and A. P. Chandrakasan, “A 175-mV Multiply-Accumulate Unit Using an Adaptive Supply Voltage and Body Bias Architecture,” IEEE Journal of Solid-State Circuits, Vol. 37, No. 11, pp. 1545–1554, November 2002.

    Article  Google Scholar 

  4. T. McPherson, R. Averill, D. Balazich, K. Barkley, S. Carey, Y. Chan, Y. H. Chan, R. Crea, A. Dansky, R. Dwyer, A. Haen, D. Hoffman, A. Jatkowski, M. Mayo, D. Merrill, T. McNamara, G. Northrop, J. Rawlins, L. Sigal, T. Slegel, D. Webber, P. Williams, and F. Yee, “760 MHz G6 S/390 Microprocessor Exploiting Multiple Vt and Copper Interconnects,” IEEE International Solid-State Circuits Conference, Vol. XLIII, pp. 96–97, February 2000.

    Google Scholar 

  5. S. Rusu, S. Tam, H. Muljono, D. Ayers, J. Chang, “A Dual-Core Multi-Threaded Xeon® Processor with 16 MB L3 Cache,” IEEE International Solid-State Circuits Conference, pp. 102–103, February 2006.

    Google Scholar 

  6. D. Scott, “Technology Challenges of Adaptive Techniques”, Microprocessor Forum, IEEE International Solid-State Circuits Conference, February 2007.

    Google Scholar 

  7. H. Su, F. Liu, A. Devgan, E. Acar, S. Nassif, “Full Chip Leakage Estimation Considering Power Supply and Temperature Variations,” International Symposium on Low Power Electronics and Design, pp. 78–83, August 25–27, 2003, Seoul, Korea.

    Google Scholar 

  8. K. Shakeri and J. D. Meindl, “Compact Physical IR-Drop Models for Chip/Package Co-Design of Gigascale Integration (GSI),” IEEE Transactions on Electron Devices, Vol. 52, No. 6, pp. 1087–1096.

    Google Scholar 

  9. T.-C. Ong, M. Levi, P.-K. Ko, C. Hu, “Recovery of Threshold Voltage After Hot-Carrier Stressing,” IEEE Transactions on Electron Devices, Vol. 35, No. 7, pp. 978–984, July 1988.

    Article  Google Scholar 

  10. A. T. Krishnan, V. Reddy,S. Chakravarthi, J. Rodriguez, S. John,S. Krishnan, “NBTI Impact on Transistor and Circuit: Models, Mechanisms and Scaling Effects [MOSFETs],” IEEE IEDM Technical Digest, pp. 349–352, December 2003.

    Google Scholar 

  11. H. Mizuno, K. Ishibashi, T. Shimura, T. Hattori, S. Narita, K. Shiozawa, S. Ikeda, and K. Uchiyama, “An 18- A Standby Current 1.8-V 200-MHz Microprocessor with Self-Substrate-Biased Data-Retention Mode,” IEEE Journal of Solid-State Circuits, Vol. 34, No. 11, pp. 1492–1500, November 1999.

    Article  Google Scholar 

  12. Y. Yasuda, N. Kimizuka, Y. Akiyama, Y. Yamagata, Y. Goto, and K. Imai “System LSI Multi-Vth Transistors Design Methodology for Maximizing Efficiency of Body-Biasing Control to Reduce Vth Variation and Power Consumption,” IEDM Technical Digest, pp. 66–71, December 2005.

    Google Scholar 

  13. C. C. Hobbs et al., “Fermi Level Pinning at the Polysilicon/Metal Oxide Interface-Part 1,” IEEE Transactions on Electron Devices, Vol. 51, No. 6, pp. 971–977, June 2004.

    Article  MathSciNet  Google Scholar 

  14. C.-H. Jan et al., “A 65 nm Ultra Low Power Logic Platform Technology Using Uni-Axial Strained Silicon Transistors,” IEEE IEDM Technical Digest, pp. 60–63, December 2005.

    Google Scholar 

  15. T. Chen and S. Naffziger, “Comparison of Adaptive Body Bias (ABB) and Adaptive Supply Voltage (ASV) for Improving Delay and Leakage Under the Presence of Process Variation,” IEEE Transactions on VLSI Systems, Vol. 11, No. 5, pp. 888–899, October 2003.

    Article  Google Scholar 

  16. K. Ishibashi, “Substrate Bias Techniques for SH4,” Short Course on Physical Design for Low Power, High Performance Microprocessor Circuits, 2001 Symposium on VLSI Circuits, 2001.

    Google Scholar 

  17. D. Scott, S. Tang, S. Zhao, and M. Nandakumar, “Device Physics Impact on Low Leakage, High Speed DSP Design Techniques,” Proceedings. International Symposium on Quality Electronic Design, pp. 349–354.

    Google Scholar 

  18. R. R. Troutman, “VLSI Limitations from Drain-Induced Barrier Lowering,” IEEE Transactions on Electron Devices, Vol. 26, No. 4, pp. 461–469, April 1979.

    Article  Google Scholar 

  19. S. Zhao, S. Tang, M. Nandakumar, D. B. Scott, S. Sridhar, A. Chatterjee, Y. Kim, S.-H. Yang, S.-C. Ai, and S. P. Ashburn, “GIDL Simulation and Optimization for 0.13um, 1.5 V Low Power CMOS Transistor Design,” International Conference on Simulation of Semiconductor Processes and Devices, pp. 43–46, 2002.

    Google Scholar 

  20. N. Kimizuka, Y. Yasuda, T. Iwamoto*, I. Yamamoto, K. Takano, Y. Akiyama, and K. Imai, “Ultra-Low Standby Power (U-LSTP) 65-nm Node CMOS Technology Utilizing HfSiON Dielectric and Body-Biasing Scheme,” Symposium on VLSI Technology, Digest of Tech. Papers, pp. 218–219, June 2005.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Scott, D., Wang, A. (2008). Technology Challenges Motivating Adaptive Techniques. In: Wang, A., Naffziger, S. (eds) Adaptive Techniques for Dynamic Processor Optimization. Series on Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-76472-6_1

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-76472-6_1

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-76471-9

  • Online ISBN: 978-0-387-76472-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics