Skip to main content

Power Optimization using Multiple Supply Voltages

  • Chapter

Multiple supply voltage design is an effective technique for power minimization in CMOS circuits. Clustered Voltage Scaling (CVS) and Extended Clustered Voltage Scaling (ECVS) are the two major methodologies used for assigning the voltage supply to gates in circuits having dual power supplies. This chapter presents current state of the art approaches that combine CVS and ECVS with threshold voltage assignment and gate sizing to enable the maximum reduction in power dissipation. Later we also present a comparison of achievable power savings using CVS and ECVS and point out that ECVS provides appreciably larger power improvements compared to CVS. However, ECVS rests on the availability of well designed asynchronous level converters. We also quantify the impact of the efficiency of level conversion on power savings.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   89.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Bai, M., and Sylvester, D., “Analysis and design of level converting flip-flops for dual-Vdd/Vth integrated circuits, ” Proc. Int. Symp. System-on-Chip, 2003, pp. 151-154.

    Google Scholar 

  2. Bai, M., Kulkarni, S., Kwong, W., Srivastava, A., Sylvester, D., and Blaauw, D., “An implementation of a 32-bit ARM processor using dual power supplies and dual threshold voltages, ” Proc. Ann. Symp. VLSI, 2003, pp. 149-154.

    Google Scholar 

  3. Brglez, F., and Fujiwara, H., “A neutral netlist of 10 combinational benchmark circuits and a target translator in Fortran, ” Proc. Int. Symp. Circuits and Systems, 1985, pp. 695-698.

    Google Scholar 

  4. Chen, C., and Sarrafzadeh, M., “Simultaneous voltage scaling and gate sizing for low-power design, ” IEEE Trans. Circuits and Systems II: Analog and Digital Signal Processing, Jun. 2002, pp. 400-408.

    Article  Google Scholar 

  5. Chen, C., Srivastava, A., and Sarrafzadeh, M., “On gate level power optimization using dual-supply voltages, ” IEEE Trans. VLSI Syst., vol. 9, Oct. 2001, pp. 616-629.

    Google Scholar 

  6. Dharchoudhury, A., Blaauw, D., Norton, J., Pullela, S., and Dunning, J., Transistor-level sizing and timing verification of domino circuits in the Power PC microprocessor, Proc. Int. Conf. Computer Design, 1997, pp. 143-148.

    Google Scholar 

  7. Dhillon, Y., Diril, A., Chatterjee, A., and Lee, H., “Algorithm for achieving minimum energy consumption in CMOS circuits using multiple supply and threshold voltages at the module level, ” Proc. Int. Conf. Computer Aided Design, 2003, pp. 693-700.

    Google Scholar 

  8. Fishburn, J., and Dunlop, A., “TILOS: a posynomial programming approach to transistor sizing, ” Proc. Int. Conf. Computer Aided Design, 1985, pp. 326-328.

    Google Scholar 

  9. Hung, W., Xie, Y., Vijaykrishnan, N., Kandemir, M., Irwin, M., and Tsai, Y., “Total power optimization through simultaneously multiple-VDD multiple-VTH assignment and device sizing, ” Proc. Int. Symp. Low-Power Electronics Design, 2004, pp. 144-149.

    Google Scholar 

  10. Igarashi, M., Usami, K., Nogami, K., Minami, F., Kawasaki, Y., Aoki, T., Takano, M., Mizuno, C., Ishikawa, T., Kanazawa, M., Sonoda, S., Ichida, M., and Hatanaka, N., “A low-power design method using multiple supply voltages, ” Proc. Int. Symp. Low-Power Electronics Design, 1997, pp. 36-41.

    Google Scholar 

  11. Ishihara, F., Sheikh, F., and Nikolic, B., “Level conversion for dual supply systems, ” Proc. Int. Symp. Low-Power Electronics Design, 2003, pp. 164-167.

    Google Scholar 

  12. Karnik, T., Ye, Y., Tschanz, J., Wei, L., Burns, S., Govindarajulu, V., De, V., and Borkar, S., “Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors, ” Proc. Design Automation Conf., 2002, pp. 486-491.

    Google Scholar 

  13. Hazucha, P., Schrom, G., Hahn, J., Bloechel, B., Hack, P., Dermer, G., Narendra, S., Gardner, D., Karnik, T., De, V., and Borkar, S., “A 233-MHz 80%-87% efficient four-phase DC-DC converter utilizing air-core inductors on package, ” IEEE J. Solid-State Circuits, Apr. 2005, pp. 838-845.

    Google Scholar 

  14. Ketkar, M., and Sapatnekar, S., “Standby power optimization via transistor sizing and dual threshold voltage assignment, ” Proc. Int. Conf. Computer Aided Design, 2002, pp. 375-378.

    Google Scholar 

  15. Kosonocky, S., Bhavnagarwala, A., Chin, K., Gristede, G., Haen, A., Hwang, W., Ketchen, M., Kim, S., Knebel, D., Warren, K., and Zyuban, V., “Low power circuits and technology for wireless digital systems, ” IBM J. R&D, vol. 47, no. 2/3, 2003.

    Google Scholar 

  16. Kulkarni, S., Srivastava, A., and Sylvester, D., “A new algorithm for improved VDD assignment in low power dual VDD systems, ” Proc. Int. Symp. Low-Power Electronics Design, 2004, pp. 200-205.

    Google Scholar 

  17. Kulkarni, S., and Sylvester, D., “High performance level conversion for dual VDD design, ” IEEE Trans. VLSI Syst., Sep. 2004, pp. 926-936.

    Article  Google Scholar 

  18. Kulkarni, S., and Sylvester, D., “Power distribution techniques for dual VDD circuits, ” Proc. Asia-South Pacific Design Automation Conf., 2006, pp. 838-843.

    Google Scholar 

  19. Lackey, D., Zuchowski, P., Bednar, T., Stout, D., Gould, S., and Cohn, J., “Managing power and performance for SOC designs using voltage islands, ” Proc. Int. Conf. Computer Aided Design, 2002, pp. 195-202.

    Google Scholar 

  20. Nemani, M., and Najm, F., “Toward a high level power estimation capability, ” IEEE Trans. Computer Aided Design, vol. 15, Jun. 1996, pp. 588-598.

    Article  Google Scholar 

  21. Nguyen, D., Davare, A., Orshansky, M., Chinnery, D., Thompson, B., and Keutzer, K., “Minimization of dynamic and static power through joint assignment of threshold volt-ages and sizing optimization, ” Proc. Int. Symp. Low-Power Electronics Design, 2003, pp. 158-163.

    Google Scholar 

  22. Pant, P., Roy, R., and Chatterjee, A., “Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits, ” IEEE Trans. VLSI Syst., 2001, pp. 390-394.

    Google Scholar 

  23. Puri, R., Stok, L., Cohn, J., Kung, D., Pan, D., Sylvester, D., Srivastava, A., and Kulkarni, S., “Pushing ASIC performance in a power envelope, ” Proc. Design Automation Conf., 2003, pp. 788-793.

    Google Scholar 

  24. Sirichotiyakul, S., Edwards, T., Oh, C., Zuo, J., Dharchoudhury, A., Panda, R., and Blaauw, D., “Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing, ” Proc. Design Automation Conf., 1999, pp. 436-441.

    Google Scholar 

  25. Srivastava, A., “Simultaneous Vt selection and assignment for leakage optimization, ” Proc. Int. Symp. Low-Power Electronics Design, 2003, pp. 146-151.

    Google Scholar 

  26. Srivastava, A., Sylvester, D., and Blaauw, D., “Power minimization using simultaneous gate sizing, dual-Vdd, and dual-Vth assignment, ” Proc. Design Automation Conf., 2004, pp. 783-787.

    Google Scholar 

  27. Sundararajan, V., and Parhi, K., “Low power synthesis of dual threshold voltage CMOS VLSI circuits, ” Proc. Int. Symp. Low-Power Electronics Design, 1999, pp. 139-144.

    Google Scholar 

  28. Sundararajan, V., and Parhi, K., “Synthesis of low power CMOS VLSI circuits using dual supply voltages, ” Proc. Design Automation Conf., 1999, pp. 72-75.

    Google Scholar 

  29. Sylvester, D., and Keutzer, K., “System-level performance modeling with BACPAC -Berkeley advanced chip performance calculator, ” Int. Workshop System-Level Interconnect Prediction (workshop notes), 1999, pp. 109-114.

    Google Scholar 

  30. Usami, K., and Horowitz, M., “Clustered voltage scaling technique for low-power design, ” Proc. Int. Symp. Low-Power Electronics Design, 1995, pp. 3-8.

    Google Scholar 

  31. Usami, K., and Igarashi, M., “Low-power design methodology and applications utilizing dual supply voltages, ” Proc. Asia South Pacific Design Automation Conf., 2000, pp. 123-128.

    Google Scholar 

  32. Usami, K., Igarashi, M., Ishikawa, T., Kanazawa, M., Takahashi, M., Hamada, M., Arakida, H., Terazawa, T., and Kuroda, T., “Design methodology of ultra low-power MPEG4 codec core exploiting multiple voltage scaling techniques, ” Proc. Design Automation Conf., 1998, pp. 483-488.

    Google Scholar 

  33. Usami, K., Igarashi, M., Minami, F., Ishikawa, M., Ichida, M., and Nogami, K., “Automated low-power technique exploiting multiple supply voltages applied to a media processor, ” IEEE J. Solid-State Circuits, Mar. 1998, pp. 463-472.

    Article  Google Scholar 

  34. Wei, L., et al., “Mixed-Vth (MVT) CMOS Circuit Design Methodology for Low Power Applications, ” in Proceedings of the Design Automation Conference, 1999, pp. 430-435.

    Google Scholar 

  35. Wei, L., Roy, K., and Koh, C., “Power minimization by simultaneous dual-Vth assign-ment and gate sizing, ” Proc. Custom Integrated Circuits Conf., 2000, pp. 413-416.

    Google Scholar 

  36. Yeh, C., Chang, M., Chang, S., and Jone, W., “Gate-level design exploiting dual supply voltages for power-driven applications, ” Proc. Design Automation Conf., 1999, pp. 68-71.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Kulkarni, S., Srivastava, A., Sylvester, D., Blaauw, D. (2007). Power Optimization using Multiple Supply Voltages. In: Closing the Power Gap Between ASIC & Custom. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-68953-1_8

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-68953-1_8

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-25763-1

  • Online ISBN: 978-0-387-68953-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics