Skip to main content

Congestion Minimization in Modern Placement Circuits

  • Chapter
Modern Circuit Placement

Part of the book series: Series on Integrated Circuits and Systems ((ICIR))

In this chapter, we propose a placement tool called Dragon which deploys hierarchical techniques to place large-scale mixed size designs that may contain thousand of macro blocks and millions of standard cells [1–3]. Min-cut-based top-down approach is taken to handle the large complexity of designs and simulated annealing is used to minimize the total wire length. Min-cut partitioning should be aware of large macro cells and may result in bins with different sizes. During simulated annealing, different bin sizes have to be considered. The techniques discussed in this work can be easily incorporated into any hierarchical placement flow and effectively produce legal final layouts with a short runtime.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Taghavi T, Yang X, Choi B.K, Wang M, Sarrafzadeh M (2006) Dragon2006: Blockage-Aware Congestion-Controlling Mixed-Sized Placer. International Symposium on Physical Design 209-211

    Google Scholar 

  2. Taghavi T, Yang X, Choi B.K, Wang M, Sarrafzadeh M (2005) Dragon2005: Large-Scale Mixed-Sized Placement Tool. International Symposium on Physical Design 245-247

    Google Scholar 

  3. Wang M, Yang X, Sarrafzadeh M (2000) Dragon2000: Fast standard-cell placement for large circuits. International Conference on Computer-Aided Design 260-263

    Google Scholar 

  4. Taghavi T, Amelifard B, Sarrafzadeh M (2006) Hierarchical Wirelength Estimation for Large-Scale Circuits in the Presence of IP Blocks. Submitted to IEEE Transaction on Very Large Scale Integration Systems, Special Section on System Level Interconnect Prediction

    Google Scholar 

  5. Yang X, Kastner R, Sarrafzadeh M (2001) Congestion Estimation during Top-Down Placement International Symposium on Physical Design(ISPD) 164-169

    Google Scholar 

  6. Taghavi T, Sarrafzadeh M (2006) Blockage-Oriented Placement. IEEE Electronic Design Process Workshop

    Google Scholar 

  7. Yang X, Choi B.K, Sarrafzadeh M (April 2002) Routability-Driven White Space Allocation for Fixed-Die Standard-Cell Placement. ACM International Symposium on Physical Design 42-47

    Google Scholar 

  8. Breuer M.A (1977) A Class of Min-cut Placement Algorithms. IEEE/ACM Design Automation Conference 284-290

    Google Scholar 

  9. Dunlop A.E, Kernighan B.W (Jan. 1985) A Procedure for Placement of Standard Cell VLSI Circuits. IEEE Transactions on Computer Aided Design 4(1):92-98

    Article  Google Scholar 

  10. Cheng C.E (1994) RISA: Accurate and Efficient Placement Routability Modeling. International Conference on Computer-Aided Design 690-695

    Google Scholar 

  11. Wang M, Yang X, Sarrafzadeh M (2000) Congestion Minimization During Placement. IEEE Transactions on Computer Aided Design 19(10):1140-1148

    Article  Google Scholar 

  12. Caldwell A.E, Kahng A.B, Markov I.L (June 2000) Can Recursive Bisection Alone Produce Routable Placements?. IEEE/ACM Design Automation Conference 477-482

    Google Scholar 

  13. Sechen C, Sangiovanni-Vincentelli A (1986) TimberWolf3.2: A New Standard Cell Placement and Global Routing Package. IEEE/ACM Design Automation Conference 432-439

    Google Scholar 

  14. Sigl G, Doll K, Johannes F.M (1991) Analytical Placement: A Linear or a Quadratic Objective Function. IEEE/ACM Design Automation Conference 427-432

    Google Scholar 

  15. Wang M, Sarrafzadeh M (April 1999) “Behavior of Congestion Minimization During Placement”. ACM International Symposium on Physical Design pages 145-150

    Google Scholar 

  16. Wang M, Yang X, Eguro K, Sarrafzadeh M (April 2000) Multi-Center Congestion Estimation and Minimization During Placement. ACM International Symposium on Physical Design 147-152

    Google Scholar 

  17. Landman B, Russo R. (1971) On a Pin Versus Block Relationship for Partitions of Logic Graphs. IEEE Transactions on Computers c-20:1469-1479

    Google Scholar 

  18. Donath W.E (April 1979) Placement and Average Interconnection Lengths of Computer Logic. IEEE Transactions on Circuits and Systems 26(4):272-277

    Article  MATH  Google Scholar 

  19. Cheng C.-K, Kahng A.B, Liu B. L, Stroobandt D (Feb 2001) Toward Better Wireload Models in the Presence of Obstacles. Asia and South Pacific Design Automation Conf. 527-532.

    Google Scholar 

  20. Stroobandt D, Campenhout J.V (1999) Accurate Interconnection Length Estimations for Predictions Early in the Design Cycle. VLSI Design, Special Issue on Physical Design in Deep Submicron 10(1):1-20

    Google Scholar 

  21. Davis J.A, De V.K, Meindl J (March 1998) A Stochastic Wire-Length Distribution for Gigascale Integration(GSI) - Part I: Derivation and Validation. IEEE Transactions on Electron Devices 45(3):580-589

    Article  Google Scholar 

  22. Hagen L, Kahng A.B, Kurdahi F.J, Ramachandran C (Jan 1994) On the Intrinsic Rent Parameter and Spectra-Based Partitioning Methodologies. IEEE Transactions on Computer Aided Design 13(no.1):27-37

    Article  Google Scholar 

  23. Karypis G, Aggarwal R, Kumar V, Shekhar S (1997) Multilevel Hypergraph Partitioning: Application in VLSI Domain. IEEE/ACM Design Automation Conference 526-529

    Google Scholar 

  24. Kleinhans J.M, Sigl G, Johannes F.M, Antreich K.J (1991) GORDIAN: VLSI Placement by Quadratic Programming and Slicing Optimization. IEEE Trans. on Computer Aided Design 10(3):365-365

    Google Scholar 

  25. Mayrhofer S, Lauther U (1990) Congestion-Driven Placement Using a New Multipartitioning Heuristic. International Conference on Computer-Aided Design 332-335

    Google Scholar 

  26. Parakh P.N, Brown R.B, Sakalleh K.A (June 1998) Congestion Driven Quadratic Placement. IEEE/ACM Design Automation Conference 275-278

    Google Scholar 

  27. Cong J, Romesis M, Xie M (Apr 2003) Optimality, scalability and stability study of partitioning and placement algorithms. International Symposium on Physical Design 88-94

    Google Scholar 

  28. Madden P.H. (Apr. 2001) Reporting of standard cell placement results. ACM International Symposium on Physical Design 30-35

    Google Scholar 

  29. Xu H, Wang M, Choi B.-K., Sarrafzadeh M (Nov. 2003) Toop: A trade-off oriented placement tool. International Conference on Computer-Aided Design 467-471

    Google Scholar 

  30. http://www.ispd.cc

Download references

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Taghavi, T., Yang, X., Choi, BK., Wang, M., Sarrafzadeh, M. (2007). Congestion Minimization in Modern Placement Circuits. In: Nam, GJ., Cong, J. (eds) Modern Circuit Placement. Series on Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-0-387-68739-1_6

Download citation

  • DOI: https://doi.org/10.1007/978-0-387-68739-1_6

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-36837-5

  • Online ISBN: 978-0-387-68739-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics