Skip to main content

A VHDL design methodology for FPGAs

  • Tools
  • Conference paper
  • First Online:
Book cover Field-Programmable Logic and Applications (FPL 1995)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 975))

Included in the following conference series:

Abstract

As synthesis becomes popular for generating FPGA designs, the design style has to be adapted to FPGAs for achieving optimal synthesis results. In this paper, we discuss a VHDL design methodology adapted to FPGA architectures. Implementation of storage elements, finite state machines, and the exploitation of features such as fast-carry logic and built-in RAM are discussed.

Using the design style described in this paper, small changes in the VHDL code can lead to dramatic improvements (a factor of 4), while optimizing key parts to the specific FPGA technology can reduce resource usage by more than a factor of 50.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Peter Alfke and Bernie New. Implementing state machines in LCA devices. In The Programmable Logic Data Book, pages 8–169–8–172. Xilinx, Inc., San Jose, CA, 2nd edition, 1994. XAPP 027.001.

    Google Scholar 

  2. Michael Gschwind and Robert Glock. VHDL Synthesis to FPGAs Using the Synopsys Design Analyzer. Technical report, Institut für Technische Informatik, Technische UniversitÄt Wien, Vienna, Austria, 1995.

    Google Scholar 

  3. IEEE. IEEE Standard VHDL Language Reference Manual. IEEE, 1988. IEEE Standard 1076-1987.

    Google Scholar 

  4. IEEE. IEEE Standard Multivalue Logic System for VHDL Model Interoper-ability (std_logic_1164). IEEE, 1993. IEEE Standard 1164-1993.

    Google Scholar 

  5. Alexander Jaud. Implementing a Hopfield neuron with VHDL under Powerview. Personal Communication, December 1994.

    Google Scholar 

  6. Dietmar Maurer. Eine Implementation des MIPS R3000 Befehlssatzes in VHDL [An implementation of the MIPS R3000 instruction set architecture in VHDL]. Master's thesis, Technische UniversitÄt Wien, Vienna, Austria, 1995. (to be published).

    Google Scholar 

  7. Manfred Selz. Untersuchungen zur synthesegerechten Verhaltensbeschreibung mit VHDL. PhD thesis, UniversitÄt Erlangen-Nürnberg, Erlangen, Germany, March 1994.

    Google Scholar 

  8. Eliezer Sternheim, Rajvir Singh, and Yatin Trivedi. Digital Design with Verilog HDL. Automata Publishing, Cupertino, CA, 1990.

    Google Scholar 

  9. Valentina Salapura and Günter Waleczek. Designing from VHDL behavioral description to FPGA implementation. In Proc. of AustroChip '94, pages 141–146, Brunn am Gebirge, Austria, June 1994.

    Google Scholar 

  10. Valentina Salapura, Günter Waleczek, and Michael Gschwind. A comparison of VHDL and Statecharts-based modeling approaches. In Proc. of ITI 94, Pula, Croatia, June 1994.

    Google Scholar 

  11. Synopsys. Design Analyzer Reference Manual. Synopsys, Inc., 1993.

    Google Scholar 

  12. Synopsys. Finite state machine tutorial source code. SNOPSYS3. 3a/doc/syn/examples/fsm/proc2. vhd, 1995.

    Google Scholar 

  13. Synopsys. Finite State Machines — Application Note. Synopsys, Inc., 1995.

    Google Scholar 

  14. Donald E. Thomas and Philip R. Moorby. The Verilog Hardware Description Language. Kluwer Academic Publishers, Boston, MA, 1991.

    Google Scholar 

  15. Viewlogic Systems. Using Powerview. Viewlogic Systems, Inc., Marlboro, MA, 1994.

    Google Scholar 

  16. Viewlogic Systems. VHDL Reference Manual for Synthesis. Viewlogic Systems, Inc., Marlboro, MA, 1994.

    Google Scholar 

  17. Viewlogic Systems. ViewSynthesis User's Guide. Viewlogic Systems, Inc., Marlboro, MA, 1994.

    Google Scholar 

  18. Günter Waleczek. Modellierung und Synthese des MIPS/SAB R3223 in VHDL. Master's thesis, Technische UniversitÄt Wien, Vienna, Austria, 1995.

    Google Scholar 

  19. Xilinx. The Programmable Logic Data Book. Xilinx, Inc., San Jose, CA, 1994.

    Google Scholar 

  20. Xilinx. XACT Reference Guide. Xilinx, Inc., San Jose, CA, April 1994.

    Google Scholar 

  21. Xilinx. XACT Xilinx Synopsys Interface FPGA User Guide. Xilinx, Inc., San Jose, CA, December 1994.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Will Moore Wayne Luk

Rights and permissions

Reprints and permissions

Copyright information

© 1995 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Gschwind, M., Salapura, V. (1995). A VHDL design methodology for FPGAs. In: Moore, W., Luk, W. (eds) Field-Programmable Logic and Applications. FPL 1995. Lecture Notes in Computer Science, vol 975. Springer, Berlin, Heidelberg. https://doi.org/10.1007/3-540-60294-1_114

Download citation

  • DOI: https://doi.org/10.1007/3-540-60294-1_114

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-60294-1

  • Online ISBN: 978-3-540-44786-3

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics