Skip to main content

Power-Performance Modeling and Tradeoff Analysis for a High End Microprocessor

  • Conference paper
  • First Online:
Book cover Power-Aware Computer Systems (PACS 2000)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 2008))

Included in the following conference series:

Abstract

We describe a new power-performance modeling toolkit, developed to aid in the evaluation and definition of future power-efficient, PowerPCTM processors. The base performance models in use in this project are: (a) a fast but cycle-accurate, parameterized research simulator and (b) a slower, pre-RTL reference model that models a specific high-end machine in full, latch-accurate detail. Energy characterizations are derived from real, circuit-level power simulation data. These are then combined to form higher-level energy models that are driven by microarchitecture-level parameters of interest. The overall methodology allows us to conduct power-performance tradeoff studies in defining the follow-on design points within a given product family. We present a few experimental results to illustrate the kinds of tradeoffs one can study using this tool.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architecturallevel power analysis and optimizations. In Proceedings of the 27th Annual International Symposium on Computer Architecture, pages 83–94, June 2000.

    Google Scholar 

  2. T. Conte, K. Menezes, and S. Sathaye. A technique to determine power-efficient, high performance superscalar processors. In Proceedings of the 28th Hawaii Int’l Conference on System Science, 1995.

    Google Scholar 

  3. A. Dhodapkar, C. Lim, and G. Cai. TEM2P2EST: A Thermal Enabled MultiModel Power/Performance ESTimator. In Power Aware Computing Systems Workshop at ASPLOS-IX, Nov. 2000.

    Google Scholar 

  4. K. Diefendorff. Power4 focuses on memory bandwidth. Microprocessor Report, pages 11–17, Oct. 6, 1999.

    Google Scholar 

  5. R. Gonzalez and M. Horowitz. Energy Dissipation in General Purpose Microprocessors. IEEE Journal of Solid-State Circuits, 31(9):1277–84, 1996.

    Article  Google Scholar 

  6. U. Ko, P. Balsara, and A. Nanda. Energy optimization of multilevel cache architectures for RISC and CISC processors. IEEE Transactions on VLSI Systems, 6(2):299–308, June 1998.

    Article  Google Scholar 

  7. C. Moore. The Power4 System Microarchitecture. Microprocessor Forum, Oct 2000.

    Google Scholar 

  8. M. Moudgill, P. Bose, and J. Moreno. Validation of Turandot, a fast processor model for microarchitecture exploration. In Proceedings of the IEEE International Performance, Computing, and Communications Conference (IPCCC), pages 451–457, Feb. 1999.

    Google Scholar 

  9. M. Moudgill, J. Wellman, and J. Moreno. Environment for PowerPC microarchitecture exploration. IEEE Micro, 19(3):9–14, May/June 1999.

    Article  Google Scholar 

  10. N. Vijaykrishnan, M. Kandemir, M. Irwin, H. Kim, and W. Ye. Energy-driven integrated hardware-software optimizations using simplepower. In Proc. of the 27th Int’l Symp. on Computer Architecture, June 2000.

    Google Scholar 

  11. V. Zyuban. Inherently lower-power high performance superscalar architectures. PhD thesis, University of Notre Dame, January 2000.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2001 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Brooks, D., Martonosi, M., Wellman, JD., Bose, P. (2001). Power-Performance Modeling and Tradeoff Analysis for a High End Microprocessor. In: Falsafi, B., Vijaykumar, T.N. (eds) Power-Aware Computer Systems. PACS 2000. Lecture Notes in Computer Science, vol 2008. Springer, Berlin, Heidelberg. https://doi.org/10.1007/3-540-44572-2_10

Download citation

  • DOI: https://doi.org/10.1007/3-540-44572-2_10

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-42329-4

  • Online ISBN: 978-3-540-44572-2

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics