Skip to main content

A Technique to Reduce Static and Dynamic Power of Functional Units in High-Performance Processors

  • Conference paper
  • 1163 Accesses

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4148))

Abstract

This paper presents a hardware technique to reduce both the static and dynamic power consumption in Functional Units of a 64-bit superscalar processor. We have studied the instructions that require an adder and we can conclude that, in 64-bit processors, there are many instructions that do not require a 64-bit adder, and that by knowing the type of operation we can also know what adder type this instruction requires. This is due that there are some types of instruction where one of the two source operands is always narrow. Our approach is based on substituting some of the 64-bit power-hungry adders by others of 32-bit and 24-bits lower power-consumption adders, and modifying the protocol in order to issue as much instructions as possible to those low power-consumption units incurring in a negligible performance penalty. We have tested four different configurations for the execution units in order to find which one obtains a higher reduction on power-consumption, preserving the performance of the processor. Our technique saves between 38,8% and a 54,1% of the power-consumption in the adders which is between 16,6% and a 23,1% of power-consumption in the execution units. This reduction is important because it can avoid the creation of a hot spot on the functional units.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Brooks, D., Martonosi, M.: Value-based clock gating and operation packing: dynamic strategies for improving processor power and performance. ACM Transaction on Computer Systems (2), 89 (2000)

    Article  Google Scholar 

  2. Seng, J.S., Tune, E.S., Tullsen, D.M.: Reducing power with dynamic critical path information. In: Proceedings of the 34th annual ACM/IEEE international symposium on Micro architecture, p. 114

    Google Scholar 

  3. Choi, J., Jeon, J., Choi, K.: Power minimization of functional units partially guarded computation. In: Proceedings of the 2000 international symposium on Low power electronics and design, pp. 131–136 (2000)

    Google Scholar 

  4. Chen, O.-C., Sheen, R.-B., Wang, S.: A low-power adder operating on effective dynamic data ranges. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 10(4), 435–453 (2002)

    Article  Google Scholar 

  5. Haga, S., Reeves, N., Barua, R., Marculescu, D.: Dynamic functional unit assignment for low power. In: Design, Automation and Test in Europe Conference and Exhibition (DATE 2003), pp. 03–07 (2003)

    Google Scholar 

  6. Austin, T., Larson, E., Ernst, D.: SimpleScalar. An Infrastructure for Computer System Modelling. Computer IEEE Journal, 59–67 (February 2002)

    Google Scholar 

  7. Perelman, E., Hamerly, G., Calder, B.: Picking statistically valid and early simulation points. In: The International Conference on Parallel Architectures and Compilation Techniques (2003)

    Google Scholar 

  8. Brooks, D., Tiwari, V., Martonosi, M.: Wattch: A framework for architectural level power analysis and optimizations. In: Proceedings of the 27th International Symposium on Computer Architecture, pp. 83–94 (2000)

    Google Scholar 

  9. Gonzalez, J., Skadron, K.: Power-aware design for high-performance processors. In: 2004 International Symposium on High-Performance Computer Architecture (2004)

    Google Scholar 

  10. Vazquez, M.: Power-Performance Tradeoffs In Digital Arithmetic Circuits. Summer Undergraduate Program in Engineering Research at Berkeley SUPERB, University of Puerto Rico- Mayaguez. Electrical Engineering (summer 2003)

    Google Scholar 

  11. Borkar, S.: Desing challenges of technology scalling. IEEE Micro (4) (July 1999)

    Google Scholar 

  12. Thompson, S., Packan, P., Bohr, M.: MOS scaling: Transistor challenges for the 21st century. Intel Technology Journal (1998)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Miñana, G., Hidalgo, J.I., Garnica, O., Lanchares, J., Colmenar, J.M., López, S. (2006). A Technique to Reduce Static and Dynamic Power of Functional Units in High-Performance Processors. In: Vounckx, J., Azemard, N., Maurine, P. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2006. Lecture Notes in Computer Science, vol 4148. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11847083_50

Download citation

  • DOI: https://doi.org/10.1007/11847083_50

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-39094-7

  • Online ISBN: 978-3-540-39097-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics