Skip to main content

Power-Saving Scheduling for Weakly Dynamic Voltage Scaling Devices

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 3608))

Abstract

We study the problem of non-preemptive scheduling to minimize energy consumption for devices that allow dynamic voltage scaling. Specifically, consider a device that can process jobs in a non-preemptive manner. The input consists of (i) the set R of available speeds of the device, (ii) a set J of jobs, and (iii) a precedence constraint Π among J. Each job j in J, defined by its arrival time a j , deadline d j , and amount of computation c j , is supposed to be processed by the device at a speed in R. Under the assumption that a higher speed means higher energy consumption, the power-saving scheduling problem is to compute a feasible schedule with speed assignment for the jobs in J such that the required energy consumption is minimized.

This paper focuses on the setting of weakly dynamic voltage scaling, i.e., speed change is not allowed in the middle of processing a job. To demonstrate that this restriction on many portable power-aware devices introduces hardness to the power-saving scheduling problem, we prove that the problem is NP-hard even if a j = a j and d j = d j hold for all j,j ′∈ Jand |R|=2. If |R|<∞, we also give fully polynomial-time approximation schemes for two cases of the general NP-hard problem: (a) all jobs share a common arrival time, and (b) Π = ∅ and for any j,j ′ ∈ J, a j a j implies d j d j. To the best of our knowledge, there is no previously known approximation algorithm for any special case of the NP-hard problem.

Support in parts by research grants from ROC National Science Council NSC-93-2752-E-002-008-PAE.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Chandrakasan, A., Sheng, S., Broderson, R.: Lower-power CMOS digital design. IEEE Journal of Solid-State Circuit 27(4), 473–484 (1992)

    Article  Google Scholar 

  2. Chang, L.-P., Kuo, T.-W., Lo, S.-W.: A dynamic-voltage-adjustment mechanism in reducing the power consumption of flash memory for portable devices. In: Proceedings of IEEE International Conference on Consumer Electronics, pp. 218–219 (2001)

    Google Scholar 

  3. Chen, J.-J., Kuo, T.-W., Yang, C.-L.: Profit-driven uniprocessor scheduling with energy and timing constraints. In: ACM Symposium on Applied Computing, pp. 834–840. ACM Press, New York (2004)

    Chapter  Google Scholar 

  4. Chen, J.Y., Jone, W.B., Wang, J.S., Lu, H.-I., Chen, T.F.: Segmented bus design for low-power systems. IEEE Transactions on VLSI Systems 7(1), 25–29 (1999)

    Article  Google Scholar 

  5. Chen, Z., Lu, Q., Tang, G.: Single machine scheduling with discretely controllable processing times. Operations Research Letters 21(2), 69–76 (1997)

    Article  MATH  MathSciNet  Google Scholar 

  6. De, P., Dunne, J.E., Ghosh, J.B., Wells, C.E.: Complexity of the discrete time-cost tradeoff problem for project networks. Operations Research 45(2), 302–306 (1997)

    Article  MATH  MathSciNet  Google Scholar 

  7. Deǐneko, V.G., Woeginger, G.J.: Hardness of approximation of the discrete time-cost tradeoff problem. Operations Research Letters 29(5), 207–210 (2001)

    Google Scholar 

  8. Garey, M.R., Johnson, D.S.: Computers and intractability: A guide to the theory of NP-completeness. W. H. Freeman and Co, New York (1979)

    MATH  Google Scholar 

  9. Gutnik, V., Chandrakasan, A.P.: Embedded power supply for low-power DSP. IEEE Transactions on VLSI Systems 5(4), 425–435 (1997)

    Article  Google Scholar 

  10. Hong, I., Kirovski, D., Qu, G., Potkonjak, M., Srivastava, M.B.: Power optimization of variable voltage core-based systems. In: Proceedings of the 35th Annual Conference on Design Automation Conference, pp. 176–181. ACM Press, New York (1998)

    Chapter  Google Scholar 

  11. Ibarra, O.H., Kim, C.E.: Fast approximation algorithms for the knapsack and sum of subsets problems. Journal of the ACM 22(4), 463–468 (1975)

    Article  MATH  MathSciNet  Google Scholar 

  12. Intel. Corporation. 28F016S5 5-Volt FlashFile Flash Memory Datasheet (1999)

    Google Scholar 

  13. Irani, S., Shukla, S., Gupta, R.: Competitive analysis of dynamic power management strategies for systems with multiple saving states. In: Proceedings of the Design Automation and Test Europe Conference (2002)

    Google Scholar 

  14. Irani, S., Shukla, S., Gupta, R.: Algorithms for power savings. In: Proceedings of the Fourteenth Annual ACM-SIAM Symposium on Discrete Algorithms, pp. 37–46. Society for Industrial and Applied Mathematics (2003)

    Google Scholar 

  15. Ishihara, T., Yasuura, H.: Voltage scheduling problems for dynamically variable voltage processors. In: Proceedings of the International Symposium on Low Power Electroncs and Design, pp. 197–202 (1998)

    Google Scholar 

  16. Jone, W.-B., Wang, J.S., Lu, H.-I., Hsu, I.P., Chen, J.-Y.: Design theory and implementation for low-power segmented bus systems. ACM Transactions on Design Automation of Electronic Systems 8(1), 38–54 (2003)

    Article  Google Scholar 

  17. Lee, S., Sakurai, T.: Run-time voltage hopping for low-power real-time systems. In: Proceedings of the 37th Conference on Design Automation, pp. 806–809. ACM Press, New York (2000)

    Google Scholar 

  18. Liu, C.L., Layland, J.W.: Scheduling algorithms for multiprogramming in a hard-real-time environment. Journal of the ACM 20(1), 46–61 (1973)

    Article  MATH  MathSciNet  Google Scholar 

  19. Manzak, A., Chakrabarti, C.: Variable voltage task scheduling algorithms for minimizing energy. In: Proceedings of the 2001 International Symposium on Low Power Electronics and Design, pp. 279–282. ACM Press, New York (2001)

    Chapter  Google Scholar 

  20. Manzak, A., Chakrabarti, C.: Energy-conscious, deterministic I/O device scheduling in hard real-time systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22(7), 847–858 (2003)

    Article  Google Scholar 

  21. Manzak, A., Chakrabarti, C.: Variable voltage task scheduling algorithms for minimizing energy/power. IEEE Transactions on VLSI Systems 11(2), 270–276 (2003)

    Article  Google Scholar 

  22. Pedram, M., Rabaey, J.M.: Power Aware Design Methodologies. Kluwer Academic Publishers, Dordrecht (2002)

    Book  Google Scholar 

  23. Pering, T., Burd, T., Brodersen, R.: The simulation and evaluation of dynamic voltage scaling algorithms. In: Proceedings of the 1998 International Symposium on Low Power Electronics and Design, pp. 76–81. ACM Press, New York (1998)

    Chapter  Google Scholar 

  24. Pering, T., Burd, T., Brodersen, R.: Voltage scheduling in the iparm microprocessor system. In: Proceedings of the 2000 International Symposium on Low Power Electronics and Design, pp. 96–101. ACM Press, New York (2000)

    Chapter  Google Scholar 

  25. Pouwelse, J., Langendoen, K., Sips, H.: Energy priority scheduling for variable voltage processors. In: Proceedings of the 2001 International Symposium on Low Power Electronics and Design, pp. 28–33. ACM Press, New York (2001)

    Chapter  Google Scholar 

  26. Quan, G., Hu, X.: Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors. In: Proceedings of the 38th Conference on Design Automation, pp. 828–833. ACM Press, New York (2001)

    Google Scholar 

  27. Raghunathan, V., Srivastava, M.B., Gupta, R.K.: A survey of techniques for energy efficient on-chip communication. In: Proceedings of the 40th Conference on Design Automation, pp. 900–905. ACM Press, New York (2003)

    Google Scholar 

  28. Shin, D., Kim, J.: A profile-based energy-efficient intra-task voltage scheduling algorithm for real-time applications. In: Proceedings of the 2001 International Symposium on Low Power Electronics and Design, pp. 271–274. ACM Press, New York (2001)

    Chapter  Google Scholar 

  29. Shin, D., Kim, J., Lee, S.: Low-energy intra-task voltage scheduling using static timing analysis. In: Proceedings of the 38th Conference on Design Automation, pp. 438–443. ACM Press, New York (2001)

    Google Scholar 

  30. Shin, Y., Choi, K.: Power conscious fixed priority scheduling for hard real-time systems. In: Proceedings of the 36th ACM/IEEE Conference on Design Automation Conference, pp. 134–139. ACM Press, New York (1999)

    Chapter  Google Scholar 

  31. Shin, Y., Choi, K., Sakurai, T.: Power optimization of real-time embedded systems on variable speed processors. In: Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, pp. 365–368. IEEE Press, Los Alamitos (2000)

    Google Scholar 

  32. Skutella, M.: Approximation algorithms for the discrete time-cost tradeoff problem. In: Proceedings of the Eighth Annual ACM-SIAM Symposium on Discrete Algorithms. Society for Industrial and Applied Mathematics, pp. 501–508 (1997)

    Google Scholar 

  33. Skutella, M.: Approximation algorithms for the discrete time-cost tradeoff problem. Mathematics of Operations Research 23(4), 909–929 (1998)

    Article  MATH  MathSciNet  Google Scholar 

  34. Weiser, M., Welch, B., Demers, A., Shenker, S.: Scheduling for reduced CPU energy. In: Proceedings of Symposium on Operating Systems Design and Implementation, pp. 13–23 (1994)

    Google Scholar 

  35. Yao, F., Demers, A., Shenker, S.: A scheduling model for reduced CPU energy. In: Proceedings of the 36th Annual Symposium on Foundations of Computer Science, pp. 374–382. IEEE, Los Alamitos (1995)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2005 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Chen, JJ., Kuo, TW., Lu, HI. (2005). Power-Saving Scheduling for Weakly Dynamic Voltage Scaling Devices. In: Dehne, F., López-Ortiz, A., Sack, JR. (eds) Algorithms and Data Structures. WADS 2005. Lecture Notes in Computer Science, vol 3608. Springer, Berlin, Heidelberg. https://doi.org/10.1007/11534273_30

Download citation

  • DOI: https://doi.org/10.1007/11534273_30

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-28101-6

  • Online ISBN: 978-3-540-31711-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics