Skip to main content

Energy Management Techniques for SOC Design

  • Chapter
Essential Issues in SOC Design

Abstract

One of the biggest problems in complicated and high-performance SoC design is management of energy and/or power consumption. In this chapter, we present energy management techniques in system design including HW and SW, SoC architecture and logic design. Dynamic power consumption is the major factor of energy consumption in the current CMOS digital circuits. The dynamic power consumption is affected by supply voltage, load capacitance and switching activity. We present approaches to controlling supply voltage, load capacitance and switching activity dynamically and statically in system architecture and algorithm design levels. We also discuss about the memory architecture for reducing power and energy in HW and SW co-design of SoC. In the future CMOS technology, leakage power consumption becomes dominant, because the threshold voltages are scaled as the transistor size shrinks. We summarize the techniques for reducing leakage power in system architecture design. The contents of the chapter include the following issues; (1) power and energy consumptions in SoC design, (2) tradeoff between energy and performance, (3) tradeoff among energy, QoS (i.e., latency and computational precision), reliability, and flexibility (4) techniques for reducing dynamic power consumption, and (5) leakage power reduction techniques

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  • J. R. Black, “Electromigration Failure Modes in Aluminum Metallization for Semiconductor Devices,” in Proc. of IEEE, vol. 57, no. 9, pp. 1587-1594, Sep. 1969.

    Article  Google Scholar 

  • N. Weste and K. Eshraghian, “Principles of CMOS VLSI design”, Addison-Wesley, 1993.

    Google Scholar 

  • A. Chatterjee, M. Nandakumar, and I. Chen, “An Investigation of the Impact of Technology Scaling on Power Wasted as Short-Circuit Current in Low Voltage Static CMOS Circuits,” in Proc. ISLPED, pp. 145-150, Aug. 1996.

    Google Scholar 

  • K. Usami, and M. Horowitz, “Clustered Voltage Scaling Techniue for Low-Power Design”, in Proc. of Int’l Symposium on Low Power Design, pp. 3-8, April, 1995.

    Google Scholar 

  • M. C. Johnson and K. Roy, “Datapath Scheduling with Multiple Supply Voltages and Level Converters,” ACM TODAES, vol.2, no.3, pp. 227-248, July, 1997.

    Article  Google Scholar 

  • A. P. Chandrakasan, M. Potkonjak, R. Mehra, J. Rabaey, and R. W. Brodersen, “Optimizing Power Using Transformations,” IEEE Trans. on CAD, vol.14, no.1, pp. 12-31, Jan., 1995.

    Google Scholar 

  • A. Raghunathan and H. K. Jha, “Behavioral Synthesis for Low Power”, in Proc. of Int’l Conference on Computer Design, pp. 318-322, Oct., 1994.

    Google Scholar 

  • A. Raghunathan and H. K. Jha, “An Iterative Improvement Algorithm for Low Power Data Path Synthesis”, in Proc. of Int’l Conference on Computer Aided Design, pp. 597-602, Nov., 1995.

    Google Scholar 

  • L. Goodby, A. Orailoglu, and P. M. Chau, “Microarchitectural Synthesis of Performance-Constrained Low-Power VLSI Designs”, In Proc. of Int’l Conference on Computer Design, pp. 323-326, Oct., 1994.

    Google Scholar 

  • N. Kumar, S. Katkoori, L. Rader, and R. Vemuri, “Profile-Driven Behavioral Synthesis for Low-Power VLSI Systems”, IEEE Design & Test, vol.12, no.3, pp. 70-84, Fall, 1995.

    Article  Google Scholar 

  • R. S. Martin, and J. P. Knight, “Power-Profiler: Optimizing ASICs Power Consumption at the Behavioral Level”, In Proc. of Design Automation Conference, pp. 42-47, June, 1995.

    Google Scholar 

  • S. Raje, and M. Sarrafzadeh, “Variable Voltage Scheduling”, in Proc. of Int’l Symposium on Low Power Design, pp. 9-14, April, 1995.

    Google Scholar 

  • Y. R. Lin, C. T. Hwang and A. C.-H. Wu, “Scheduling Techniques for Variable Voltage Low Power Designs”, ACM TODAES, vol.2, no.2, pp. 81-97, April, 1997.

    Article  Google Scholar 

  • J. Chang and M. Pedram, “Energy Minimization Using Multiple Supply Voltages”, in Proc. of Int’l Symposium on Low Power Electronics and Design, pp. 157-162, Aug., 1996.

    Google Scholar 

  • T. Ishihara, and H. Yasuura, “Voltage Scheduling Problem for Dynamically Variable Voltage Processors”, in Proc. of Int’l Symposium on Low Power Electronics and Design, pp. 197-202, Aug. 1998.

    Google Scholar 

  • M. Weiser, B. Welch, A. Demers and S. Shenker, “Scheduling for Reduced CPU Energy”, in Proc. of Symposium on Operating Systems Design and Imprementation, pp. 13-23, Nov., 1994.

    Google Scholar 

  • F. Yao, A. Demers and S. Shenker, “A Scheduling Model for Reduced CPU Energy”, in Proc. of Symposium on Faundations of Cumputer Science, pp. 374-382, Oct., 1995.

    Google Scholar 

  • Y. Shin and K. Choi, “Power Conscious Fixed Priority Scheduling for Hard Real-Time Systems”, in Proc. of Design Automation Conference, pp. 134-139, June, 1999.

    Google Scholar 

  • Y. Shin, K. Choi and T. Sakurai, “Power Optimization of Real-Time Embedded Systems on Variable Speed Processors”, in Proc. of Int’l Conference on Computer Aided Design, pp. 365-368, Nov., 2000.

    Google Scholar 

  • T. Okuma, T. Ishihara, and H. Yasuura, “Real-Time Task Scheduling for a Variable Voltage Processor”, in Proc. of Int’l Symposium on System Synthesis, pp. 24-29, Nov., 1999.

    Google Scholar 

  • T. Austin, D. Blaauw, T. Mudge and K. Flautner, “Making Typical Silicon Matter with Razor”, IEEE Computer Magazien, pp. 57-65, March 2004.

    Google Scholar 

  • D. Bertozzi, L. Benini and G. De Micheli, “Low-Power Error-Resilient Encoding for On-Chip Data Busses”, in Proc of Dasign Automation and Test in Europe Conference, pp. 102-109, March, 2002.

    Google Scholar 

  • F. Worm, P. Lenne, P. Thiran and G. De Micheli, “An adaptive low-power transmission scheme for on-chip networks”, in Proc. of Int’l symposium on system synthesis, pp. 92-100, Oct. 2002

    Google Scholar 

  • Y. Cao, and H. Yasuura, “Quality-Driven Design by Bitwidth Optimization for Video Applications,” in Proc. Asia and South Pacific Design Automation Conference, pp. , 2003.

    Google Scholar 

  • S. Alalusi, and B. Victor, “Variable Word Width Computation for Low Power,” CS 252 Computer Architecture, 2000.

    Google Scholar 

  • M. Stephenson, J. Babb, and S. Amarasinghe, “Bitwidth Analysis with Application to Silicon Compilation,” in Proc. ACM SIGPLAN 2000 Conference on Programming language design and implementation, pp. 198-120, 2000.

    Google Scholar 

  • R. Canal, A. Gonzalez, and J. E. Smith, “Very Low Power Pipelines using Significance Compression,” in Proc. of International Symposium on Microarchitecture, pp. 181-190, 2000.

    Google Scholar 

  • D. Brooks, and M. Martonosi, “Value-Based Clock Gating and Operation Packing: Dynamic Strategies for Improving Processor Power and Performance,” in ACM Transactions on Computer Systems, vol. 18, no. 2, pp. 89-126, May 2000.

    Article  Google Scholar 

  • H. Li, S. Bhunia, Y. Chen, T. N. Vijaykumar, and K. Roy, “Deterministic Clock Gating for Microprocessor Power Reduction,” in Proc. of International Symposium on High-Performance Computer Architecture, pp. 113, 2003.

    Google Scholar 

  • N. Bellas, I. Haji, and C. Polychronopoulos, “Using Dynamic Cache Management Techniques to Reduce Energy in a High-Performance Processor,” in Proc. of International Symposium on Low Power Electronics and Design, pp. 64-69, 1999.

    Google Scholar 

  • L. Benini, A. Bogliolo, S. Cavallucci, and B. Ricco, “Monitoring Systems Activity or OS-Directed Dynamic Power Management,” in Proc. of International Symposium on Low Power Electronics and Design, pp. 185-190, 1998.

    Google Scholar 

  • J. L. Wong, G. Qu, and M. Potkonjak, “Power Minimization in QoS Sensitive Systems,” in IEEE Transactions on Very Large Scale Integration Systems, vol. 12, no. 6, pp. 553-561, 2004.

    Article  Google Scholar 

  • Q. Qiu, Q. Wu, and M. Pedram, “Dynamic Power Management in a Mobile Multimedia System with Guaranteed Quality-of-Service,” in Proc of Design Automation Conference, pp. 834-839, 2001.

    Google Scholar 

  • S. M. Yardi, M. S. Hsiao, T. L. Martin, and D. S. Ha, “Quality-Driven Proactive Computation Elimination for Power-Aware Multimedia Processing,” in Proc of DATE, pp. 340-345 , 2005.

    Google Scholar 

  • G. Pokam, O. Rochecouste, A. Seznec, and F. Bodin, “Speculative Software Management of Datapath-width for Energy Optimization,” in Proc. of LCTES, pp. 78-87, 2004.

    Google Scholar 

  • A. Sinha, A. Wang, and A. Chandrakasan, “Energy Scalable System Design,” in IEEE Transactions on Very Large Scale Integration Systems, vol. 10, no. 2, 2002.

    Google Scholar 

  • F. Bellosa, “OS-Directed Throttling of Processor Activity for Dynamic Power Management,” Tech. Report, 1999.

    Google Scholar 

  • M. Muroyama, A. Hyodo, T. Okuma, and H. Yasuura, “A Power Reduction Scheme for Data Buses by Dynamic Detection of Active Bits,” in Proc. of Euromicro Symposium on Digital System Design - Architectures, Methods and Tools -, pp. 408-415, 2003.

    Google Scholar 

  • T. Okuma, Y. Cao, M. Muroyama, and H. Yasuura, “Reducing Access Energy of On-Chip Data Memory Considering Active Data Bitwidth,” in Proc. of International Symposium on Low Power Electronics and Design, pp. 88-91, 2002.

    Google Scholar 

  • T. Xanthopoulos, and A. P. Chandrakasan, “A Low-Power DCT Core Using Adaptive Bitwidth and Arithmetic Activity Exploiting Signal Correlations and Quantization,” in IEEE Jounal of Solid-State Circuits, vol. 5, no. 5, 2000.

    Google Scholar 

  • D. Liu and C. Svensson, “Power Consumption Estimation in CMOS VLSI Chips,” IEEE Journal of Solid-State Circuits, vol.29, no.6, pp. 663-670, June 1994.

    Article  Google Scholar 

  • U. Ko, P. T. Balsara, and A. K. Nanda, “Energy Optimization of Multilevel Cache Architectures for RISC and CISC Processors,” IEEE Trans. on VLSI Systems, vol.6, no.2, pp. 299-308, June 1998.

    Article  Google Scholar 

  • C. L. Su and A. M. Despain, “Cache Design Trade-offs for Power and Performance Optimization: A Case Study”, in Proc. of ISLPED, pp. 63-68, August 1995.

    Google Scholar 

  • P. Hicks, M. Walnock, and R. M. Owens, “Analysis of Power Consumption in Memory Hierarchies”, in Proc. of ISLPED, pp. 239-242, August 1997.

    Google Scholar 

  • Y. Li, and J. Henkel, “A Framework for Estimating and Minimizing Energy Dissipation of Embedded HW/SW Systems”, in Proc. of DAC, pp. 188-193, June, 1998.

    Google Scholar 

  • W. T. Shine, and C. Chacrabarti, “Memory Exploration for Low Power, Embedded Systems”, in Proc. of DAC, pp. 140-145, June, 1999.

    Google Scholar 

  • A. Malik, B. Moyer and D. Cermak, “A Low Power Unified Cache Architecture Providing Power and Performance Flexibility”, in Proc. of ISLPED, pp. 241-243, July 2000.

    Google Scholar 

  • T. Ishihara and F. Fallah, “A Non-Uniform Cache Architecture for Low Power System Design”, in Proc. of ISLPED, pp. 363-368, Aug., 2005.

    Google Scholar 

  • S. McFarling, “Program Optimization for Instruction Caches”, In Proc. of Int’l Conference on Architecture Support for Programming Languages and Operating Systems, pp. 183-191, April 1989.

    Google Scholar 

  • W. W. Hwu and P. P. Chang, “Achieving High Instruction Cache Performance with an Optimizing Compiler”, in Proc. of ISCA, pp. 242-251, May 1989.

    Google Scholar 

  • H. Tomiyama and H. Yasuura, “Optimal Code Placement of Embedded Software for Instruction Caches”, in Proc. of European Design and Test Conference, pp. 96-101, March, 1996.

    Google Scholar 

  • P. Panda, N. Dutt, and A. Nicolau, “Memory Organization for Improved Data Cache Performance in Embedded Processors”, in Proc. of the 9$th$ Int’l Symposium on System Synthesis, pp. 90-95, November 1996.

    Google Scholar 

  • A. H. Hashemi, D. R. Kaeli, and B. Calder, “Efficient Procedure Mapping Using Cache Line Coloring”, in Proc. of Programming Language Design and Implementation, pp. 171-182, June, 1997.

    Google Scholar 

  • S. Ghosh, M. Martonosi, and S. Malik, “Cache Miss Equations: A Compiler Framework for Analyzing and Tuning Memory Behavior”, ACM Trans. on Programming Languages and Systems, vol.21, no.4, pp. 703-746, July, 1999.

    Article  Google Scholar 

  • C. Kulkarni, C. Ghez, M. Miranda, F. Catthoor, H. De Man, “Cache Conscious Data Layout Organization for Conflict Miss Reduction in Embedded Multimedia Applications,” in Proc. of DATE 2001, pp. 686-691, March 2001.

    Google Scholar 

  • R. Banakar, S. Steinke, B.-S. Lee, M. Balakrishnan, and P. Marwedel, “Scratchpad Memory : A Design Alternative for Cache On-Chip Memory in Embedded Systems”, in Proc. of CODES, pp. 73-78, May, 2002.

    Google Scholar 

  • M. R. Stan and W. P. Burleson, “Bus-Invert Coding for Low-Power I/O,” IEEE Trans. on VLSI Systems, vol. 3, pp. 49-58, March, 1995.

    Article  Google Scholar 

  • C. L. Su, C. Y. Tsui, and A. M. Despain, “Low Power Architecture Design and Compilation Technique for High-Performance Processors,” in Proc. IEEE COMPCON, pp. 209-214, Feb., 1994.

    Google Scholar 

  • L. Benini, G. De Micheli, E. Macii, D. Sciuto, and C. Silvano, “Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems,” in Proc. of Great Lakes Symposium on VLSI, pp. 77-82, March, 1997.

    Google Scholar 

  • L. Benini, G. De Micheli, E. Macii, M. Poncino, and S. Quer, “System-Level Power Optimization of Special Purpose Applications: The Beach Solution,” in Proc. of Int’l Symposium on Low Power Electronics and Design, pp. 24-29, August, 1997.

    Google Scholar 

  • Y. Shin, S. Chae, and K. Choi, “Partial Bus-Invert Coding for Power Optimization of System Level Bus,” in Proc. of Int’l Symposium on Low Power Electronics and Design, pp. 127-129, August, 1998.

    Google Scholar 

  • L. Benini, A. Macii, E. Macii, and M. Poncino, “Synthesis of Application Specific Memories for Power Optimization in Embedded Systems”, in Proc. of Design Automation Conference, pp. 300-303, June, 2000.

    Google Scholar 

  • T. Ishihara, and H. Yasuura, “A Power Reduction Technique with Object Code Merging for Application Specific Embedded Processors”, in Proc. of Design Automation and Test in Europe Conference, pp. 617-623, March, 2000.

    Google Scholar 

  • S. Segars, K. Clarke, L. Goudge, “Embedded Control Problems, Thumb and the ARM7TDMI,” IEEE Micro, vol.15, no.5, pp. 22-30, Oct., 1995.

    Article  Google Scholar 

  • Y. Yoshida, B. Y. Song, H. Okuhata, T. Onoye, and I. Shirakawa, “An Object Code Compression Approach to Embedded Processors,” in Proc. of Int’l Symposium on Low Power Electronics and Design, pp. 285-288, August, 1997.

    Google Scholar 

  • L. Benini, A. Macii, E. Macii, and M. Poncino, “Selective Instruction Compression for Memory Energy Reduction in Embedded Systems”, in Proc. of Int’l Symposium on Low Power Electronics and Design, pp. 206-211, August, 1997.

    Google Scholar 

  • M. D. Powell, S. Yang, B. Falsafi, K. Roy, T. N. Vijaykumar, “Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories,” in Proc. of International Symposium on Low Power Electronics and Design, pp. 90-95, 2000.

    Google Scholar 

  • L. Yan, J. Luo, and N. K. Jha, “Joint Dynamic Voltage Scaling and Adaptive Body Biasing for Heterogeneous Distributed Real-Time Embedded Systems,” IEEE Trans. on CAD, vol.24, no.7, pp. 1030-1041, July 2005.

    Google Scholar 

  • Y.-F. Tsai, D. E. Duarte, N. Vijaykrishnan, and M. J. Irwin, “Characterization and Modeling of Run-Time Techniques for Leakage Power Reduction,” in IEEE Transactions on Very Large Scale Integration Systems, vol. 12, no. 11, 2004.

    Google Scholar 

  • Y. Cao, and H. Yasuura, “Leakage Power Reduction Using Bitwidth Optimization,” in Proc. of the 6$th$ World Multiconference on Systemics, Cybernetics and Informatics., 2002.

    Google Scholar 

  • P. Li, Y. Deng, and L. T. Pileggi, “Temperature-Dependent Optimization of Cache Leakage Power Dissipation,” in Proc. of ICCD, 2005.

    Google Scholar 

  • “Leakage Aware Synthesis,” in Proc. of TVLSI 2002.

    Google Scholar 

  • P. Yang, C. Wung,...“Energy-Aware Runtime Scheduling for Embedded Multiprocessor SoCs,” in IEEE Design and Test of Computers, 2001.

    Google Scholar 

  • T. Ishihara, and K. Asada, “An architectural level energy reduction technique for deep-submicron cache memories,” in Proc. of Asia and South Pacific Design Automation Conference, 2002.

    Google Scholar 

  • S. Kaxiras, Z. Hu, G. Narlikar, and R. McLellan, “Cache-line decay: a mechanism to reduce cache leakage power,” in Proc. of Workshop on Power Aware Computer Systems, 2000.

    Google Scholar 

  • S. Manne, A. Klauser, and D. Grunwald, “Pipeline gating: speculation control for energy reduction,” in Proc. of International Symposium on Computer Architecture, 1998.

    Google Scholar 

  • H. Sato, and T. Sato, “A Static and Dynamic Energy Reduction Technique for I-Cache and BTB in Embedded Processors,” in Proc. of Asia South Design Automation Conference, 2004.

    Google Scholar 

  • R. Schmidt, and B. Notohardjono, “High-end Server Low-Temperature Cooling,” in IBM Journal of Research and Development, pp. 739-751, 2002.

    Google Scholar 

  • R. Krane, J. Parsons, and A. Bar-Cohen, “Design of a candidate thermal control system for a cryogenically cooled computer,” in IEEE Transactions on Components, Hybrids, and Manufacturing Technology, vol. 11, no. 4, pp. 545-556, 1988.

    Article  Google Scholar 

  • B. H. Calhoun, F. A. Honore, and A. Chandrakasan, “Design methodology for fine-grained leakage control in MTCMOS,” in Proc. of International Symposium on Low Power Electronics and Design, pp. 104-109, 2003.

    Google Scholar 

  • M. Liu, W.-S. Wang, and M. Orshansky, “Leakage Power Reduction by Dual-Vth Designs under Probabilistic Analysis of Vth Variation,” in Proc. of International Symposium on Low Power Electronics and Design, pp. 2-7, 2004

    Google Scholar 

  • L. Wei, Z. Chen, M. Johnson, K. Roy, and V. De, “Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits,” in Proc. of Design Automation Conference, pp. 489-494.

    Google Scholar 

  • Y.-T Ho, and T.-T. Hwang, “Low Power Design using Dual Threshold Voltage,” in Proc. of Asia and South Pacific Design Automation Conference, pp. 205-208, 2004.

    Google Scholar 

  • K. Seta, H. Hara, T. Kuroda, M. Kakumu, and T. Sakurai, “50% Active-Power Saving without Speed Degradation using Standby Power Reduction (SPR) circuit,” in Proc. of ISSCC, pp. 318-319, 1995.

    Google Scholar 

  • Kobayashi, and T. Sakurai, “Self-Adjusting Threshold Voltage Scheme (SATS) for Low-Voltage High-Speed Operation,” in Proc. of CICC, pp. 271-274, 1994.

    Google Scholar 

  • T. Claasen,... in Proc. of ISSCC99.

    Google Scholar 

  • M. Budiu, “Application-Specific Hardware,” in Proc. International Conference on Field Programmable Logic and Applications, pp. 853-863, 2002.

    Google Scholar 

  • S. Ranpara et al., “A Low-Power Viterbi Decoder Design for Wireless Communications Applications,” in Proc. ASIC, 1999.

    Google Scholar 

  • F. Gilbert et al. “Low Power Implementation of a Turbo-Decoder on Programmable Architectures” in Proc. ASP-DAC, 2001.

    Google Scholar 

  • K. Usami et al. “Design Methodology of Ultra Low-power MPEG4 Codec Core Exploiting Voltage Scaling Techniques”

    Google Scholar 

  • R. B. Lee, “Challenges in the Design of Security-Aware Processors,” in Proc. Application-Specific Systems, Architectures, and Processors, pp. , 2003.

    Google Scholar 

  • S. Udayakumaran, B. Narahari, R. Simha, “Application-Specific Memory Partitioning for Low Power Consumption,” COLP 2002.

    Google Scholar 

  • J. M. Rabaey, “Low Power Silicon Architecture for Wireless Communications,” in Proc. of Asia South Pacific Design Automation Conference, pp. 377-380, January, 2000.

    Google Scholar 

  • J. Wei, and C. Rowen, “Implementing Low-Power Configurable Processors – Practical Options and Tradeoffs,” in Proc. of Design Automation Conference, pp. 706-711, June, 2005.

    Google Scholar 

  • B. P. Dave, G. Lakshminarayana, and N. K. Jha, “COSYN: Hardware-Software Co-Synthesis of Embedded Systems,” in Proc. of Design Automation Conference, pp. 703-708, June, 1997.

    Google Scholar 

  • J. Henkel, “A Low Power Hardware/Software Partitioning Approach for Core-based Embedded Systems,” in Proc. of Design Automation Conference, pp. 122-127, June, 1999.

    Google Scholar 

  • A. Inoue, T. Ishihara, and H. Yasuura, “Flexible System LSI for Embedded Systems and Its Optimization Techniques”, in H. Yasuura, editors, Journal of Design Automation for Embedded Systems, Vol.5, No.2, pp. 179-205, Kluwer Academic Publishers, Jun. 2000.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer

About this chapter

Cite this chapter

Yasuura, H., Ishihara, T., Muroyama, M. (2006). Energy Management Techniques for SOC Design. In: Lin, YL.S. (eds) Essential Issues in SOC Design. Springer, Dordrecht. https://doi.org/10.1007/1-4020-5352-5_6

Download citation

  • DOI: https://doi.org/10.1007/1-4020-5352-5_6

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-1-4020-5351-1

  • Online ISBN: 978-1-4020-5352-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics