Skip to main content

Part of the book series: Series on Integrated Circuits and Systems ((ICIR))

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki, J. Yamada, “IV high-speed digital circuit technology with 0.5μm multi-threshold CMOS”, Proc. Sixth Annual IEEE International ASIC Conference and Exhibit, pp. 186–189, September 1993.

    Google Scholar 

  2. J. Kao, A. Chandrakasan, D. Antoniadis, “Transistor sizing issues and tool for multi-threshold CMOS technology,” Proc. 34thDesign Automation Conf., pp. 409–414, June 1997.

    Google Scholar 

  3. S. Mutoh, S. Shigematsu, Y. Gotoh, S. Konaka, “Design method of MTCMOS power switch for low-voltage high-speed LSIs,” Proc. IEEE Asia and South Pacific Design Automation Conf. (ASP-DAC’99), pp. 113–116, Jan. 1999.

    Google Scholar 

  4. H. Won, K. Kim, K. Jeong, K. Park, K. Choi, J. Kong, “An MTCMOS design methodology and its application to mobile computing,” Proc. 2003 Int. Symp. on Low Power Electronics and Design, pp., Aug. 2003.

    Google Scholar 

  5. K. Usami, N. Kawabe, M. Koizumi, K. Seta, T. Furusawa, “Automated selective multi-threshold design for ultra-low standby applications,” Proc. 2002 Int. Symp. on Low Power Electronics and Design, pp. 202–206, Aug. 2002.

    Google Scholar 

  6. S. Shigematsu, S. Mutoh, Y. Matsuya, Y. Tanabe, J. Yamada, “A 1-V high-speed MTCMOS circuit scheme for power-down application circuits,” IEEE J. of Solid-State Circuits, vol. 32, no. 6, pp. 861–869, June 1997.

    Article  Google Scholar 

  7. K. Usami, N. Kawabe, M. Koizumi, K. Seta, T. Furusawa, “Selective multi-threshold technique for high-performance and low-standby applications,” IEICE Trans, on Fundamentals of Electronics, Communications and Computer Sciences, vol. E85-A, no. 12, pp. 2667–2773, Dec. 2002.

    Google Scholar 

  8. K. Usami, M. Igarashi, F. Minami, T. Ishikawa, M. Kanazawa, M. Ichida, and K. Nogami, “Automated low-power technique exploiting multiple supply voltages applied to a media processor”, IEEE J. Solid-State Circuits, vol.33, no.3, pp. 463–472, March 1998.

    Article  Google Scholar 

  9. G. Uvieghara, et al, “A highly-integrated 3G CDMA2000 IX cellular baseband chip with GSM/AMPS/GPS/Bluetooth/multimedia capabilities and ZIF RIF support”, 2004 ISSCC, Digest of Tech. Papers, vol.1, pp. 422–536, Feb. 2004.

    Google Scholar 

  10. T. Inukai, M. Takamiya, K. Nose, H. Kawaguchi, T. Hiramoto, T. Sakurai, “Boosted gate MOS (BGMOS): device/circuit cooperation scheme to achieve leakage-free giga-scale integration,” CICC’00, p.409, May 2000.

    Google Scholar 

  11. H. Kawaguchi, K. Nose, T. Sakurai, “A CMOS scheme for 0.5V supply voltage with pico-ampere standby current,” 1998 ISSCC, Digest of Tech. Papers, pp. 192–193, Feb. 1998.

    Google Scholar 

  12. M. Ohashi, et al., “A 27MHz 11.1mW MPEG-4 video decoder LSI for mobile application,” ISSCC, pp.366–367, Feb.2002.

    Google Scholar 

  13. K. S. Min, T. Sakurai, “Zigzag super cut-off CMOS (ZSCCMOS) block activation with self-adaptive voltage level controller: An alternative to clock-gating scheme in leakage dominant era,” ISSCC, pp.400–401, Feb. 2003.

    Google Scholar 

  14. T. Miyazaki, T. Q. Canh, H. Kawaguchi, T. Sakurai, “Observation of one-fifth-of-a-clock wake-up time of power-gated circuit,” CICC’04, paper#6–1, Oct. 2004.

    Google Scholar 

  15. J. Tschanz, S. Narendra, Y. Ye, B. Bloechel, S. Borkar, “Dynamic sleep transistor and body bias for active leakage power control of microprocessors,” IEEE J. Solid-State Circuits, vol.38, pp.1838–1843, Nov. 2003.

    Article  Google Scholar 

  16. Sequence Design, Inc., “Leakage power solutions,” NanoCool Low-Power Design Seminar, Tokyo, Nov. 10, 2004.

    Google Scholar 

  17. N. Kim, T. Austin, D. Blaauw, T. Mudge, K. Flautner, J. Hu, M. J. Irwin, M. Kandemir and V. Narayanan, “Leakage Current: Moore’s Law Meets Static Power,” IEEE Computer, vol.36, no. 12, pp.68–75, December 2003.

    Google Scholar 

  18. Z. Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson, P. Bose, “Microarchitectural techniques for power gating of execution units,” Proc. 2004 Int. Symp. on Low Power Electronics and Design, pp. 32–37, Aug. 2004.

    Google Scholar 

  19. S. Dropsho, V. Kursun, D. Albonesi, S. Dwarkadas, E. Friedman, “Managing static leakage energy in microprocessor functional units,” Proc. 35thAnnual IEEE/ACM Int. Symp. on Microarchitecture (MICRO-35), pp. 321–332, Nov. 2002.

    Google Scholar 

  20. S. Rele, S. Pande, S. Onder, R. Gupta, “Optimizing static power dissipation by functional units in superscalar processor,” Proc. Int. Conf. on Compiler Construction, pp. 261–275, Apr. 2002.

    Google Scholar 

  21. K. Usami and H. Yoshioka, “A scheme to reduce active leakage power by detecting state transitions,” Proc. 47thIEEE Int. Midwest Symp. on Circuit and Systems (MWSCAS’04), I493–I496, July 2004.

    Google Scholar 

  22. L. Benini and G. De Micheli, Dynamic Power Management: Design Techniques and CAD Tools, Kluwer Academic Publishers, 1998.

    Google Scholar 

  23. [Online] http://www.cbl.ncsu.edu/

    Google Scholar 

  24. O. Semenov, A. Vassighi, M. Sachdev, A. Keshavarzi and C. Hawkins, “Effect of CMOS technology scaling on thermal management during burn-in,” IEEE Trans. Semiconductor Manufacturing, vol.16, no.4, Nov. 2003.

    Google Scholar 

  25. A. Vassighi, O. Semenov, M. Sachdev, A. Keshavarzi, “Effect of static power dissipation in burn-in environment on yield of VLSI,” Proc. IEEE Int. Symposium on Defect and Fault Tolerance in VLSI Systems (DFT’02), 2002.

    Google Scholar 

  26. K. Usami and H. Yoshioka, “Dynamic sleep control for finite-state-machines to reduce active leakage power,” IEICE Trans, on Fundamentals of Electronics, Communications and Computer Sciences, vol. E87-A, no.12, pp. 3116–3123, Dec. 2004.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2006 Springer Science+Business Media, Inc.

About this chapter

Cite this chapter

Usami, K., Sakurai, T. (2006). Methodologies for Power Gating. In: Leakage in Nanometer CMOS Technologies. Series on Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/0-387-28133-9_4

Download citation

  • DOI: https://doi.org/10.1007/0-387-28133-9_4

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-25737-2

  • Online ISBN: 978-0-387-28133-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics