Skip to main content

Dynamic Functional Unit Assignment for Low Power

  • Chapter
Embedded Software for SoC
  • 373 Accesses

Abstract

A hardware method for functional unit assignment is presented, based on the principle that a functional unit’s power consumption is approximated by the switching activity of its inputs. Since computing the Hamming distance of the inputs in hardware is expensive, only a portion of the inputs are examined. Integers often have many identical top bits, due to sign extension, and floating points often have many zeros in the least significant digits, due to the casting of integer values into floating point. The accuracy of these approximations is studied and the results are used to develop a simple, but effective, hardware scheme.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 189.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 249.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. M. Alidina, J. Monteiro, S. Devadas, and Papaefthymiou. “Precomputation-Based Sequential Logic Optimization for Low Power.” IEEE Transactions on VLSI Systems, Vol. 2, No. 4, pp. 426–436, April 1994.

    Google Scholar 

  2. L. Benini and G. D. Micheli. “Transformation and Synthesis of FSMs for Low Power Gated Clock Implementation.” IEEE Transactions on Computer Aided Design, Vol. 15, No. 6, pp. 630–643, June 1996.

    Google Scholar 

  3. D. Brooks and M. Martonosi. “Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance.” In Proceedings of the 5th International Symposium on High Performance Computer Architecture (HPCA), pp. 13–22, January 1999.

    Google Scholar 

  4. D. Brooks, V. Tiwari, and M. Martonosi. “Wattch: A Framework for Architectural-Level Power Analysis and Optimizations.” In Proceedings of the 27th International Symposium on Computer Architecture (ISCA), Vancouver, British Columbia, June 2000.

    Google Scholar 

  5. D. Burger and T. Austin. “The SimpleScalar Tool Set, Version 2.0.” Technical Report TR 1342, University of Wisconsin, Madison, WI, June 1997.

    Google Scholar 

  6. J.-M. Chang and M. Pedram. “Module Assignment for Low Power.” In Proceedings of the European Conference on Design Automation (EDAC), pp. 376–381, September 1996.

    Google Scholar 

  7. S. P. E. Corporation. The SPEC Benchmark Suites. http://www.spec.org/.

  8. J. Hennessy and D. Patterson. Computer Architecture A Quantitative Approach. Morgan Kaufmann, Palo Alto, CA, second edition, 1996.

    Google Scholar 

  9. M. Johnson, D. Somasekhar, and K. Roy. “Leakage Control with Efficient Use of Transistor Stacks in Single Threshold CMOS.” In Design Automation Conference (DAC), pp. 442–445, June 1999.

    Google Scholar 

  10. B. Klass, D. E. Thomas, H. Schmidt, and D. E. “Nagle. Modeling Inter-Instruction Energy Effects in a Digital Signal Processor.” In Power-Driven Microarchitecture Workshop, in Conjunction with ISCA, June 1998.

    Google Scholar 

  11. L. Kruse, E Schmidt, G. Jochenshar, and W. Nebel. “Lower and Upper Bounds on the Switching Activity in Scheduling Data Flow Graphs.” In Proceedings of the International Symposium on Low Power Design, pp. 115–120, August 1999.

    Google Scholar 

  12. T. C. Lee, V. Tiwari, S. Malik, and M. Fuhita. “Power Analysis and Minimization Techniques for Embedded DSP Software.” IEEE Transactions on VLSI Systems, March 1997.

    Google Scholar 

  13. R. Marculescu, D. Marculescu, and M. Pedram. “Sequence Compaction for Power Estimation: Theory and Practice.” IEEE Transactions on Computer Aided Design, Vol. 18, No. 7, pp. 973–993, 1999.

    Google Scholar 

  14. J. Mermet and W. Nebel. Low Power Design in Deep Submicron Electronics. Kluwer Academic Publishers, Norwell, MA, 1997.

    Google Scholar 

  15. M. Pedram. “Power Minimization in IC Design: Principles and Applications.” ACM Transactions on Design Automation of Electronic Systems, Vol. 1, No. 1, pp. 1–54, January 1996.

    Article  Google Scholar 

  16. V. Tiwari, S. Malik, and P. Ashar. “Guarded Evaluation: Pushing Power Management to Logic Synthesis/Design.” In Proceedings of the ACM/IEEE International Symposium on Low Power Design, pp. 139–142, April 1994.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Kluwer Academic Publishers

About this chapter

Cite this chapter

Haga, S., Reeves, N., Barua, R., Marculescu, D. (2003). Dynamic Functional Unit Assignment for Low Power. In: Jerraya, A.A., Yoo, S., Verkest, D., Wehn, N. (eds) Embedded Software for SoC. Springer, Boston, MA. https://doi.org/10.1007/0-306-48709-8_35

Download citation

  • DOI: https://doi.org/10.1007/0-306-48709-8_35

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4020-7528-5

  • Online ISBN: 978-0-306-48709-5

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics