Skip to main content

3D Packaging Architectures and Assembly Process Design

  • Chapter
  • First Online:
3D Microelectronic Packaging

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 64))

Abstract

In this chapter, the advantages and limitations of 3D architectures are discussed to provide context for why 3D stacking is a key area of interest for product architects, why it has generated broad industry attention, and why it is seeing increased adoption in recent years (https://www.anandtech.com/tag/hbm2 [1; https://newsroom.intel.com/wp-content/uploads/sites/11/2019/08/Intel-Lakefield-HotChips-presentation.pdf [2]; Ingerly et al., IEDM [3]; Elsherbini et al., IEDM 4]). The primary focus of this chapter is on 3D architectures that use Through Silicon Vias (TSVs). The key elements of a TSV based 3D architecture are described, followed by a description of the three methods of manufacturing wafers with TSVs (i.e. Via-First, Via-Middle and Via-Last). An analysis of the different assembly process flows for 3D structures, broadly classified as (1) Wafer-to-Wafer (W2W) (2) Die-to-Wafer (D2W) and (c) Die-to-Die (D2D) assembly processes, is covered. Key design, assembly process, test process and materials considerations for each of these flows are described. The chapter concludes with a discussion of current and anticipated challenges for 3D architectures.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 199.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Heterogeneous Integration refers to the integration of separately manufactured components (e.g. individual die, MEMS device, passive component, pre-packaged devices etc.) into a higher level assembly (SiP) that, in the aggregate, provides enhanced functionality and improved operating characteristics [7].

    SIP is considered to be sub-set of the broader concept of System on Package (SOP) [8] where an entire computer system is built on a package.

  2. 2.

    An IP (Intellectual Property) block is reusable circuit block that performs a certain specialized functions and serves as a building block for constructing the SOC.

  3. 3.

    Physical and electrical interconnect characteristics have a significant impact on chip performance [18,19,20].

  4. 4.

    In most applications Thermo-compression Bonding (TCB), is used to create the fine pitch interconnect typically needed between two stacked die, because of its superior alignment capability over reflow based flip-chip bonding [23].

  5. 5.

    WIO i.e. Wide IO is a JEDEC standard memory where the memory die are connected by TSVs [43].

  6. 6.

    Power efficiency quoted for the ESD case. See [44] for a detailed review of power and performance differences between LPDDR and Wide-IO.

  7. 7.

    It is relevant to note that [45] also includes the case of monolithic 3-D ICs where multiple devices levels are stacked within the wafer. This case is truly a bounding case of 3D integration but beyond the scope of the current chapter. References [46, 47] covers this subject in more detail.

  8. 8.

    The 2.5D nomenclature is used here to maintain consistency with literature published before 2019 HIR [7].

  9. 9.

    In reality, there will be thermal cross-talk through the heat spreader and this will affect the TDP envelope.

  10. 10.

    System cooling refers to the cooling solution attached to the SIP.

  11. 11.

    200 °C quoted as a typical lower temperature bound. A number of FEOL and MEOL processes have deposition temperatures significantly higher than 200 °C.

  12. 12.

    Descriptions in Fig. 2.11 show high level conceptual flows and specifics can vary e.g. in a Via Middle process partial metallization can precede TSV formation.

  13. 13.

    While this statement seems intuitively feasible, the authors are not aware of an authoritative study that establishes the design advantages of the Via First process over the Via Middle or Via Last options.

  14. 14.

    Note that die stacks can also be attached to the wafer and HBM stacks are a good example of this case.

  15. 15.

    It should be pointed out that this model while illustrative is simplistic in a number of ways. In real life situations, the number of process steps is higher than n; individual process step yields vary and are not always independent of each other.

  16. 16.

    In industry parlance, the acronym KGD (Known Good Die) is used to describe working die, pre-tested before package assembly.

  17. 17.

    Determining viability for assembly requires a careful optimization of cost (i.e. cost of probing die on a wafer needs to be balanced against the cost of package waste and need for additional test steps later in the flow) and test coverage (while checking a greater degree of die functionality before packaging is financially viable it can also require more sophisticated Sort technology).

  18. 18.

    One of the key considerations in manufacturing costs is the time it takes to test units. Greater the amount of time, lower the through-put and hence higher the costs. The goal in E-Test, Sort, and Package Level Test steps is to focus on test time minimization without impacting quality. Burn-in processes on the other hand are designed to run longer so that latent defects are screened out.

Abbreviations

3D:

Three Dimensional

2D:

Two Dimensional

SBS:

Side by Side

SIP:

System in Package

SOC:

System on Chip

IP:

Intellectual Property

MCP:

Multi Chip Package

MCM:

Multi Chip Module

MPM:

Multi Package Module

EMIB:

Embedded Multi-Die Interconnect Bridge

TSV:

Through Silicon Via

W2W:

Wafer to Wafer

D2W:

Die to Wafer

D2D:

Die to Die

BI:

Burn-In

Tx:

Transmitter

Rx:

Receiver

TDP:

Thermal Design Power

TIM:

Thermal Interface Material

KOZ:

Keep Out Zone

CMP:

Chemical Mechanical Polishing

ECD:

Electro Chemical Deposition

PECVD:

Plasma Enhanced Chemical Vapor Deposition

PVD:

Plasma Vapor Deposition

FEOL:

Front End of Line

MEOL:

Middle End of Line

BEOL:

Back End of Line

KGD:

Known Good Die

PCH:

Peripheral Controller Hub

References

  1. https://www.anandtech.com/tag/hbm2

  2. https://newsroom.intel.com/wp-content/uploads/sites/11/2019/08/Intel-Lakefield-HotChips-presentation.pdf

  3. D. Ingerly, S. Amin, L. Aryasomayajula, A. Balankutty, D. Borst, A. Chandra, K. Cheemalapati, C.S. Cook, R. Criss, K. Enamul, W. Gomes, D. Jones, K.C. Kolluri, A. Kandas, G.-S. Kim, H. Ma, D. Pantuso, C.F. Petersburg, M. Phen-Givoni, A.M. Pallai, A. Sairam, P. Shekhar, P. Sinha. P. Stover, A. Telang, Z. Zell, Foveros: 3D integration and the use of face to face stacking for logic devices, in IEDM 2019

    Google Scholar 

  4. A.A. Elsherbini, S.M. Liff, J.M. Swan, Heterogeneous integration using omni-directional interconnect packaging, in IEDM 2019

    Google Scholar 

  5. G. Moore, Cramming more components onto integrated circuits. Electronics 38(8), 114 (1965)

    Google Scholar 

  6. R. Thakur, 50 Years of Moore’s law. Solid State Technol. 58(4), 41 (2015)

    Google Scholar 

  7. https://eps.ieee.org/technology/heterogeneous-integration-roadmap/2019-edition.html

  8. R. Tummala, System On Package: Miniaturization of the Entire System (The McGraw-Hill Companies Inc., 2008)

    Google Scholar 

  9. https://www.anandtech.com/show/6911/intels-return-to-the-dram-business-haswell-gt3e-to-integrate-128mb-edram

  10. http://www.hybridmemorycube.org/

  11. https://www.intel.com/content/www/us/en/products/programmable/fpga/agilex.html?wapkw=agilex

  12. R. Mahajan, R. Sankman, N. Patel, D.-W. Kim, K. Aygun, Z. Qian, Y. Mekonnen, I. Salama, S. Sharan, D. Iyengar, D. Mallik, Embedded multi-die interconnect bridge (EMIB)—A high density, high bandwidth packaging interconnect, in Paper presented at the 66th Electronic Components and Technology Conference, Las Vegas, Nevada 557–565, June 2016

    Google Scholar 

  13. M. Sunohara, et al., Silicon interposer with TSVs (through silicon vias) and fine multilayer wiring, in Proceedings of IEEE Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, May 27–30, 2008, pp. 847–852

    Google Scholar 

  14. K. Oi, et al., Development of new 2.5D package with novel integrated organic interposer substrate with ultra-fine wiring and high density bumps, in Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference, Orlando, pp. 348–353

    Google Scholar 

  15. S. Miki, H. Taneda, N. Kobayashi, K. Oi, K. Nagai, T. Koyama, Development of 2.3D high density organic package using low temperature bonding process with Sn–Bi solder, in Proceedings of the 2019 IEEE 69th Electronic Components and Technology Conference, Las Vegas, pp. 1599–1604

    Google Scholar 

  16. X. Zhang, P.K. Jo, M. Zia, G.S. May, M.S. Bakir, Heterogeneous interconnect stitching technology with compressible microinterconnects for dense multi-die integration. IEEE Electron Device Lett. 38(2) (2017)

    Google Scholar 

  17. W.R. Davis, J. Wilson, J. Xu, L. Luo, H. Hua, A. Sule, C.A. Mineo, M.B. Steer, P.D. Franzon, Demystifying 3D ICs: the pros and cons of going vertical, design and test of computers, IEEE 22(6), 498–510 (2005)

    Google Scholar 

  18. J.D. Meindl, Interconnect opportunities for gigascale integration. IEEE Micro 28–35 (2003)

    Google Scholar 

  19. J.D. Meindl, Beyond Moore’s law: the interconnect era. Comput. Sci. Eng. 20–24 (2003)

    Google Scholar 

  20. R.S. Patti, Three-dimensional integrated circuits and the future of system-on-chip designs. Proc. IEEE 94(6), 1214–1224 (2006)

    Article  Google Scholar 

  21. F. Mukta, S.S. Iyer, 3D integration review. Sci. China Inf. Serv. 54(5), 1012–1025 (2011)

    Article  Google Scholar 

  22. L. England, I. Arsovski, Advanced packaging saves the day!—How TSV technology will enable continued scaling, IEDM 2017

    Google Scholar 

  23. A. Eitan, K.-Y. Hung, Thermo-compression bonding for fine-pitch copper-pillar flip-chip interconnect—tool features as enablers of unique technology, in Proceedings IEEE 65th Electronic Components and Technology Conference (ECTC), May 2015 pp. 460–464

    Google Scholar 

  24. G. Vakanas, O. Minho, B. Dimcic, K. Vanstreels, B. Vandecasteele, I. De Preter, J. Derakhshandeh, K. Rebibis, M. Kajihara, I. De Wolf, Formation, processing and characterization of Co-Sn intermetallic compounds for potential integration in 3D interconnects. Microelectron. Eng. 140, 72–80 (2015)

    Google Scholar 

  25. A. Klumpp, R. Merkel, P. Ramm, J. Weber, R. Weiland, Vertical system integration by using inter-chip vias and solid-liquid interdiffusion bonding. Jpn. J. Appl. Phys. 43(7A), L829–L830 (2004)

    Article  ADS  Google Scholar 

  26. P.R. Morrow, C.-M. Park, S. Ramanathan, M.J. Kobrinsky, M. Harmes, Three-dimensional wafer stacking via Cu–Cu bonding integrated with 65-nm strained Si/low-k CMOS technology. IEEE Electron. Device Lett. 27(5) (2006)

    Google Scholar 

  27. P. Batra, S. Skordas, D. LaTulipe, K. Winstel, C. Kothandaraman, B. Himmel, G. Maier, B. He, D.W. Gamage, J. Golz, W. Lin, T. Vo, D. Priyadarshini, A. Hubbard, K. Cauffman, B. Peethala, J. Barth, T. Kirihata, T. Graves-Abe, N. Robson, S. Iyer, Three-dimensonal wafer stacking using Cu TSV integrated with 45 nm high performance SOI-CMOS embedded DRAM technology. J. Low Power Electron. Appl. 4, 77–89 (2014). https://doi.org/10.3390/jlpea4020077

    Article  Google Scholar 

  28. K. Takahashi, M. Umemoto, N. Tanaka, K. Tanida, Y. Nemoto, Y. Tomita, M. Tago, M. Bonkohara, Ultra-high-density interconnection technology of three-dimensional packaging. Microelectron. Reliab. 43, 1267–1279 (2003)

    Article  Google Scholar 

  29. C.S. Tan, G.Y. Chong, High throughput Cu–Cu bonding by non-thermo-compression method, in Paper presented at the 63rd Electronic Components and Technology Conference, Las Vegas, Nevada (2013), pp. 1158–1164

    Google Scholar 

  30. P. Guegen, C. Ventosa, L. Di Cioccio, H. Moriceau, F. Grossi, M. Rivoire, P. Leduc, L. Clavelier, Physics of direct bonding: applications to 3D heterogeneous or monolithic integration, Microelectron. Eng. 87, 477–484 (2010)

    Google Scholar 

  31. B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G.H. Loh, D. McCauley, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, C. Webb, Die stacking (3D) microarchitecture, in Paper presented at the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’06) (2006)

    Google Scholar 

  32. J.M. Stern, V.H. Ozguz, 3D system architectures, in Intelligent Integrated Microsystems, ed. by R.A. Athale, J. C. Wolper, Proc. SPIE 6232, 6232K (2006). https://doi.org/10.1117/12.667381

  33. P. Jacob, O. Erdogan, A. Zia, P.M. Belemjian, R.P. Kraft, J.F. McDonald, Predicting the performance of a 3D processor-memory chip stack. IEEE Des. Test Comput. 540–547 (2005)

    Google Scholar 

  34. P. Franzon, E. Rotenberg, J. Tuck, W.R. Davis, H. Zhou, J. Schabel, Z. Zhang, J.B. Dwiel, E. Forbes, J. Huh, S. Lipa, Computing in 3D, in Presented at the 2015 Custom Integrated Circuits Conference (CICC), 2015, 28–30 Sept 2015, pp. 1–6

    Google Scholar 

  35. U. Kang, H.-J. Chnug, S. Heo, D.-H. Park, H. Lee, J.H. Kim, S.-H. Ahn, S.-H. Cha, J. Ahn, D. Kwan, J.-W. Lee, H.-S. Joo, W.-S. Kim, D.H. Jang, N.S. Kim, J.-H. Choi, T.-G. Chung, J.-H. Yoo, J.S. Choi, C. Kim, Y.-H. Jun, 8 Gb 3-D DDR3 DRAM using through-silicon-via technology. IEEE J. Solid-State Circ. 45(1), 111–119 (2010)

    Google Scholar 

  36. J.B. Park, W.R. Davis, P.D. Franzon, 3-D-DATE: a circuit-level three-dimensional DRAM area, timing, and energy model. IEEE Trans. Circ. Syst.-I, Reg. Pap. 66(2), 756–768 (2019)

    Article  Google Scholar 

  37. S. Lhostis, A. Farcy, E. Deloffre, F. Lorut, S. Mermoz, Y. Henrion, L. Bethier, F. Bailly, D, Scevola, F. Gyuader, F. Gigon, C. Besset, S. Pellssier, L. Gay, N. Hetellier, M. Arnoux, A.-L. Le Berrigo, S. Moreau, V. Balan, F. Fournel, A. Jouce, S. Cheramy, B. Rebhan, G. Maier, L. Chitu, Reliable 300 mm wafer level hybrid bonding for 3D stacked CMOS image sensors, in Paper presented at the 66th Electronic Components and Technology Conference, Las Vegas, Nevada, June 2016, pp. 869–876

    Google Scholar 

  38. V.C. Venezia. C. Shih, W.Z. Yang, B. Zhang, H. Rhodes, Stack chip technology: a new direction for CMOS imagers, in Presented at the IISW Conference (2015)

    Google Scholar 

  39. R. Fontaine, The state-of-the-art of mainstream CMOS image sensors, in Presented at the IISW Conference (2015)

    Google Scholar 

  40. D/3D TSV & Wafer-Level Stacking Technology & Market Updates 2019. Yole Développement

    Google Scholar 

  41. K. Chandrashekar, W. Weis, B. Akesson, N. When, K. Goossens, System and circuit level power modeling of energy-efficient 3D-stacked wide I/O DRAMs, in Presented at the 2013 DATE Conference, pp. 236–241

    Google Scholar 

  42. M.A. Karim, P.D. Franzon, A. Kumar, Power comparison of 2D, 3D and 2.5D interconnect solutions and power optimization of interposer interconnects, in ECTC 2013, pp. 860–866

    Google Scholar 

  43. https://www.jedec.org/standards-documents/docs/jesd229–2

  44. M.H. Hajkazemi, M.K. Tavana, H. Homayoun, Wide I/O or LPDDR? Exploration and analysis of performance, power and temperature trade-offs of emerging DRAM technologies in embedded MPSoCs, in Paper presented at the 33rd IEEE International Conference on Computer Design (2015), pp. 70–77

    Google Scholar 

  45. Y. Zhang, X. Zhang, M. Bakir, Benchmarking digital Die-to-Die channels, in 2.5-D and 3-D heterogeneous integration platforms. IEEE Trans. Electron Dev. 65(12) (2018), 5460–5467

    Google Scholar 

  46. S. Panth, K. Samadi, Y. Du, S.K. Lim, High density integration of functional modules using monolithic 3D-IC technology, in Proceedings IEEE Asia South Pacific Design Automation Conference, January 2013, pp. 681–686

    Google Scholar 

  47. T. Srimani, G. Hills, C. Lau, M. Shulaker, Monolithic three-dimensional imaging system: carbon nanotube computing circuitry integrated directly over silicon imager, in 2019 Symposium on VLSI Technology Digest of Technical Papers, pp. T24–T25

    Google Scholar 

  48. M. Saeidi, K. Samadi, A. Mittal, R. Mittal, Thermal implications of mobile 3D-ICs, in Presented at the 2014 3D Systems Integration Conference (3DIC) in Kinsdale, pp. 1–7. https://doi.org/10.1109/3dic.2014.7152160

  49. M. Koyanagi, H. Kurino, K.W. Lee, K. Sakuma, N. Miyakawa, H. Itani, Future system-on-silicon LSI chips. IEEE Micro 18(4), 17–22 (1998)

    Article  Google Scholar 

  50. A. Mercha, G. Van der Plas, V. Moroz, I. De Wolf, P. Asimakopoulos, N. Minas, S. Domae, D. Perry, M. Choi, A. Redolifi, C. Okoro, Y. Yang, J. Van Olmen, S. Thangaraju, D. Sabuncuoglu Tezcan, P. Soussan, J.H. Cho, A. Yakovlev, P. Marchal, Y. Travaly, E. Beyne, S. Biesemans, B. Swinnen, Comprehensive Analysis of the impact of single and arrays of through silicon vias induced stress on high-K/metal gate CMOS performance, in IEDM (2010), pp. 2.2.1–2.2.4

    Google Scholar 

  51. W. Guo, G. Van der Plas, A. Ivankovic, V. Cherman, G. Eneman, B. De Wachter, M. Togo, A. Redolfi, S. Kubicek, Y. Civale, T. Chiarella, B. Vandevelde, K. Croes, I. De Wolf, I. Debusschere, A. Mercha, A. Thean, G. Beyer, B. Swinnen, E. Beyne. Impact of through silicon via induced mechanical stress on fully depleted bulk finFET technology, IEDM (2012), pp. 18.4.1–18.4.4

    Google Scholar 

  52. T. Kauerauf, A. Branka, K. Croes, A. Redolfi, Y. Civale, C. Torregiani, G. Groeseneken, E. Beyne. Effect of TSV presence on FEOL yield and reliability (2013), pp. 5C.6.1–5C.6.4

    Google Scholar 

  53. M. Tanaka, M. Sekine, I. Sakai, Y. Kusuda, T. Nonaka, O. Ysuji, K. Kondo, TSV Processes. Three Dimensional Integration of Semiconductors (2015), pp. 43–96. http://rd.springer.com/chapter/10.1007/978-3-18675-7_3/fulltext.html

  54. S. Spiesshoefer, L. Schaper, IC stacking technology using fine pitch, nanoscale through silicon vias, in Proceedings of IEEE 53rd Electronic Components and Technology Conference (ECTC), May 2003, pp. 631–633

    Google Scholar 

  55. S. Spiesshoefer, L. Schaper, S. Burkett, G. Vangara, Z. Rahman, P. Arunasalam, Z-Axis interconnects using fine pitch, nanoscale through-silicon vias: process development, in Proceedings of IEEE 54th Electronic Components and Technology Conference (ECTC), June 2004, pp. 466–471

    Google Scholar 

  56. N. Ranganathan, K. Prasad, N. Balasubramanian, Z. Qiaoer, S.C. Hwee, High aspect ratio through-wafer interconnect for three dimensional integrated circuits, in Proceedings of IEEE 55th Electronic Components and Technology Conference (ECTC), (2005), pp. 343–348

    Google Scholar 

  57. T.M. Bauer, S.L. Shinde, J.E. Massad, D.L. Hetherington, Front end of line integration of high density, electrically isolated, metallized through silicon vias, in Proceedings of the 58th Electronic Components and Technology Conference (ECTC), May 2009, pp. 1165–1169

    Google Scholar 

  58. M. Puech, J.M. Thevenoud, J.M. Gruffat, N. Launay, N. Arnal, P. Godinat, Fabrication of 3D packaging TSV using DRIE, design, test, integration and packaging of MEMS/MOEMS, 2008, in Symposium on MEMS/MOEMS 2008, pp. 109–114. http://doi.org/10.1109/DTIP.2008.4752963

  59. G. Pares, N. Bresson, S. Minoret, V. Lapras, P. Brianceau, J.F. Lugand, R. Anciant, N. Sillon, Through silicon via technology using tungsten metallization, in 2011 IEEE International Conference on IC Design & Technology (2011), pp. 1–4. https://doi.org/10.1109/icicdt.2011.5783204

  60. R. Agarwal, D. Hiner, S. Kannan, K. Lee, D. Kim, J. Paek, S. Kang, Y. Song, S. Dej, D. Smith, S. Thangaraju, J. Paul, TSV integration on 20 nm logic: 3D assembly and reliability results, in Proceedings of the 64th Electronic Components and Technology Conference (ECTC), May 2014, pp. 590–595

    Google Scholar 

  61. D.J. Na, K.O. Aung, W.K. Choi, T. Kida, T. Ochiai, T. Hashimoto, M. Kimura, K. Kata, S.W. Yoon, A.C.B. Yong, TSV MEOL (mid end of line) and packaging technology of mobile 3D-IC stacking, in Proceedings of the 64th Electronic *Components and Technology Conference (ECTC), May 2014, pp. 596–600

    Google Scholar 

  62. N. Kumar, S. Ramaswami, J. Dukovic, J. Tseng, R. Ding, N, Rajagopalan, B. Eaton, R. Mishra, R. Yalamanchili, Z. Wang, S, Xia, K, Sapre, J, Hua, A. Chan, G. Mori, B. Linke, Robust TSV via-middle and via-reveal process integration accomplished through characterization and management of sources of variation, in 2012 IEEE 62nd Electronic Components and Technology Conference, pp, 787–793. https://doi.org/10.1109/ectc.2012.6248922

  63. E. Beyne, Reliable via-middle copper through-silicon via technology for 3-D integration. IEEE Trans. Compon. Pack. Manuf. Technol. 6(7), 983–992 (2016). https://doi.org/10.1109/tcpmt.2015.2495166

  64. S.W. Yoon, D.J. Na, K.T. Kang, W.K. Choi, C.B. Yong, Y.C. Kim, P.C. Marimuthu, TSV MEOL (mid-end-of-line) and its assembly/packaging technology for 3D/2.5D solutions, in ICEP-IAAC 2012 Proceedings, pp. 1–5

    Google Scholar 

  65. K.-W. Lee, H. Hashimoto, M. Onishi, Y. Sato, M. Murugesan, J.-C. Bea, T. Fukushima, T. Tananka, M. Koyanagi, A resilient 3D stacked multicore processor fabricated using die-level 3D integration and backside TSV technologies, in Proceedings of the 64th Electronic Components and Technology Conference (ECTC), May 2014 pp. 304–308

    Google Scholar 

  66. M.-J. Tsai, Overview of ITRI’s TSV technology, in 7th Annual SEMATECH Symposium Japan, June 2011. http://www.sematech.org/meetings/archives/symposia/9237/Session%205%203D%20interconnect/1%20MJ_Tsai_ITRI.pdf

  67. H. Ikeda, Heterogeneous 3D stacking technology developments in ASET, in CPMT Symposium Japan, 2012 2nd IEEE, pp. 1–4. https://doi.org/10.1109/icsj.2012.6523453

  68. P. Lindner, V. Dragoi, T. Glinsner, C. Schaefer, R. Islam, 3D interconnect through aligned wafer level bonding, in Proceedings of the 52nd Electronic Components and Technology Conference (ECTC), May 2002, pp. 1439–1443

    Google Scholar 

  69. T. Ohba, Wafer level three-dimensional integration (#DI) using bumpless TSV interconnects for tera-scale generation, in 2013 IEEE, pp. 1–4

    Google Scholar 

  70. Q. Chen, D. Zhang, Z. Wang, L. Liu, J.J.-Q. Lu, Chip-to-wafer (C2W) 3D integration with well-controlled template alignment and wafer-level bonding, in Proceedings of the 61st Electronic Components and Technology Conference (ECTC), May 2011, pp. 1–6

    Google Scholar 

  71. W.K. Choi, C.S. Premchandran, L. Xie, S.C. Ong, J.H. He, G.J. Yap, A. Yu, A novel die to wafer (D2W) collective bonding method for MEMs and electronics a heterogeneous 3D integration, in Proceedings of the 60th Electronic Components and Technology Conference (ECTC), May 2010, pp. 829–833

    Google Scholar 

  72. K. Sakuma, P.S. Andy, C.K. Tsang, S.L. Wright, B. Dang, C.S. Patel, B.C. Webb, J. Maria, E.J. Sprogis, S.K. Kang, R.J. Polastre, R.R. Horton, J.U. Knockerbocker, 3D chip-stacking technology with through-silicon vias and low-volume lead-free interconnections. IBM J. Res. Dev. 52(6), 611–622 (2008)

    Article  Google Scholar 

  73. http://ewh.ieee.org/soc/cpmt/presentations/cpmt1801.pdf

Download references

Acknowledgements

The authors would like to acknowledge Prismark Partners LLC, TechSearch International Inc, and Yole Développement for their generous permission to use their pictures. Thanks are due to Dr. Zhiguo Qian (Intel Corporation) for his help on the section on IO power dissipation, Dr. Chandra Mohan Jha (Intel Corporation) for help with thermal analysis, Professor Paul Franzon (North Carolina State University) for help getting updated latency and energy comparisons Debendra Mallik for help on the figures, Sriram Srinivasan for thorough review of the chapter and Tom DeBonis for his help in facilitating information collection. Guidance from Chris Nelson (Intel Corporation) on test processes is also gratefully acknowledged. The editors would like to thank Zhiheng Huang from Sun Yat-sen University for his critical review of this chapter.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ravi Mahajan .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Editor(s) (if applicable) and The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Mahajan, R., Sankman, B. (2021). 3D Packaging Architectures and Assembly Process Design. In: Li, Y., Goyal, D. (eds) 3D Microelectronic Packaging. Springer Series in Advanced Microelectronics, vol 64. Springer, Singapore. https://doi.org/10.1007/978-981-15-7090-2_2

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-7090-2_2

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-7089-6

  • Online ISBN: 978-981-15-7090-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics