Skip to main content

On the FPGA-Based Implementation of a Flexible Waveform from a High-Level Description: Application to LTE FFT Case Study

  • Conference paper
  • First Online:

Abstract

The Field Programmable Gate Array (FPGA) technology is expected to play a key role in the development of Software Defined Radio (SDR) platforms. To this aim, leveraging the nascent High-Level Synthesis (HLS) tools, a design flow from high-level specifications to Register-Transfer Level (RTL) description can be thought to generate processing blocks that can be reconfigured at run-time. Based on such a flow, this paper describes the architectural exploration of a Fast Fourier Transform (FFT) for Long Term Evolution (LTE) standard. Synthesis results show the tradeoff between reconfiguration time and area that can be achieved with such an approach.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    Higher throughput up to 400 MBytes/s may be reached using a dedicated controller so that reconfiguration time can be reduced.

References

  1. GNU Radio: The free and open software radio ecosystem. www.gnuradio.org

  2. Increasing Design Functionality with Partial and Dynamic Reconfiguration in 28-nm FPGAs. Altera White Paper, WP-01137-1.0. www.altera.com

  3. Kyprianos Papadimitriou, Microprocessor and Hardware Laboratory, Technical University of Crete. Partial Reconfiguration Cost Calculator. http://users.isc.tuc.gr/~kpapadimitriou/prcc.html

  4. Partial Reconfiguration User Guide, UG702 (v14.1) (2012). www.xilinx.com

  5. Casseau, E., Le Gal, B.: Design of multi-mode application-specific cores based on high-level synthesis. Integr. VLSI J. 45(1), 9–21 (2012). Elsevier

    Article  Google Scholar 

  6. Chen, J., Hu, J., Lee, S., Sobelman, G.E.: Hardware efficient mixed Radix-25/16/9 FFT for LTE systems. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 23(2), 221–229 (2015)

    Article  Google Scholar 

  7. Cooley, J.W., Tukey, J.W.: An algorithm for the machine calculation of complex Fourier series. Math. Comput. 19, 297–301 (1965). American Mathematical Society

    Article  MathSciNet  MATH  Google Scholar 

  8. Cummings, M., Haruyama, S.: FPGA in the software radio. IEEE Commun. Mag. 37(2), 108–112 (1999)

    Article  Google Scholar 

  9. Gautier, M., Ouedraogo, G.S., Sentieys, O.: Design space exploration in an FPGA-based software defined radio. In: Euromicro Conference on Digital System Design (DSD), Verona, Italy, pp. 22–27, August 2014

    Google Scholar 

  10. Gelonch, A., Revs, X., Marojevik, V., Ferrús, R.: P-HAL: a middleware for SDR applications. In: SDR Forum Technical Conference, November 2005

    Google Scholar 

  11. Freescale Semiconductor Incorporated. Software Optimization of DFTs and IDFTs Using the StarCore SC3850 DSP Core. Application Note AN3980 (2009)

    Google Scholar 

  12. Jianxin, G., Xiaohui, Y., Jun, G., Quan, L.: The software communication architecture: evolutions and trends. In: IEEE Conference on Computational Intelligenceand Industrial Applications (PACIIA), November 2009

    Google Scholar 

  13. Jondral, J.F.: Software-defined radio: basics and evolution to cognitive radio. EURASIP J. Wirel. Commun. Netw. 3, 275–283 (2005)

    MATH  Google Scholar 

  14. Le Moullec, Y., Diguet, J.-P., Ben Amor, N., Gourdeaux, T., Philippe, J.-L.: Algorithmic-level specification, characterization of embedded multimedia applications with design trotter. J. VLSI Signal Process. Syst. Signal Image Video Technol. 42(2), 185–208 (2006)

    Article  MATH  Google Scholar 

  15. Lemoine, E., Merceron, D.: Run time reconfiguration of FPGA for scanning genomic databases. In: IEEE Symposium on FPGAs for Custom Computing Machines, pp. 90–98, April 1995

    Google Scholar 

  16. Lin, Y., Mullenix, R., Woh, M., Mahlke, S., Mudge, T., Reid, A., Flautner, K.: SPEX: a programming language for software defined radio. In: Software Defined Radio Technical Conference and Product Exposition (SDR-Forum), November 2006

    Google Scholar 

  17. Ouedraogo, G.-S., Gautier, M., Sentieys, O.: A frame-based domain-specific language for rapid prototyping of FPGA-based software-defined radios. EURASIP J. Adv. Signal Process. 2014(1), 164 (2014)

    Article  Google Scholar 

  18. Ouedraogo, G.S., Gautier, M., Sentieys, O.: Frame-based modeling for automatic synthesis of FPGA-software defined radio. In: IEEE International Conference on Cognitive Radio Oriented Wireless Networks and Communications (CROWNCOM), June 2014

    Google Scholar 

  19. Pitkanen, T., Takala, J.: Low-power application-specific processor for FFT computations. In: IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), pp. 593–596, April 2009

    Google Scholar 

  20. So, B., Hall, M.W., Diniz, P.C.: A compiler approach to fast hardware design space exploration in FPGA-based systems. In: Proceedings of the ACM SIGPLAN Conference on Programming language design and implementation (PLDI), pp. 165–176, New York, USA (2002)

    Google Scholar 

  21. Willink, E.D.: The waveform description language: moving from implementation to specification. In: IEEE Military Communications Conference (MILCOM) (2001)

    Google Scholar 

  22. Yang, C.-H., Tsung-Han, Y., Markovic, D.: Power and area minimization of reconfigurable FFT processors: a 3GPP-LTE example. IEEE J. Solid State Circ. 47(3), 757–768 (2012)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mai-Thanh Tran .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 ICST Institute for Computer Sciences, Social Informatics and Telecommunications Engineering

About this paper

Cite this paper

Tran, MT., Gautier, M., Casseau, E. (2016). On the FPGA-Based Implementation of a Flexible Waveform from a High-Level Description: Application to LTE FFT Case Study. In: Noguet, D., Moessner, K., Palicot, J. (eds) Cognitive Radio Oriented Wireless Networks. CrownCom 2016. Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering, vol 172. Springer, Cham. https://doi.org/10.1007/978-3-319-40352-6_45

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-40352-6_45

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-40351-9

  • Online ISBN: 978-3-319-40352-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics