Skip to main content

Lagarto I RISC-V Multi-core: Research Challenges to Build and Integrate a Network-on-Chip

  • Conference paper
  • First Online:

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 1151))

Abstract

Current compute-intensive applications largely exceed the resources of single-core processors. To face this problem, multi-core processors along with parallel computing techniques have become a solution to increase the computational performance. Likewise, multi-processors are fundamental to support new technologies and new science applications challenges. A specific objective of the Lagarto project developed at the National Polytechnic Institute of Mexico is to generate an ecosystem of high-performance processors for the industry and HPC in Mexico, supporting new technologies and scientific applications. This work presents the first approach of the Lagarto project to the design of multi-core processors and the research challenges to build an infrastructure that allows the flagship core of the Lagarto project to scale to multi- and many-cores. Using the OpenPiton platform with the Ariane RISC-V core, a functional tile has been built, integrating a Lagarto I core with memory coherence that executes atomic instructions, and a NoC that allows scaling the project to many-core versions. This work represents the initial state of the design of mexican multi-and many-cores processors.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Ramírez, C., Hernández, C., Morales, C.R., García, G.M., Villa, L.A., Ramírez, M.A.: Lagarto I - Una plataforma hardware/software de arquitectura de computadoras para la academia e investigación. Res. Comput. Sci. 137, 19–28 (2017)

    Google Scholar 

  2. Waterman, A., Asanović, K. (eds.): The RISC-V Instruction Set Manual, Volume I: User-Level ISA, Document Version 2.2. RISC-V Foundation, May 2017

    Google Scholar 

  3. TOP500 List: November 2018|TOP500 Supercomputer Sites (2019). https://www.top500.org/list/2018/11/

  4. Adiga, N.R., et al.: An overview of the BlueGene/L supercomputer. In: Proceedings of the 2002 ACM/IEEE Conference on Supercomputing, SC 2002, Baltimore, MD, USA, p. 60 (2002). https://doi.org/10.1109/sc.2002.10017

  5. IBM100: Blue Gene. (2012). https://www.ibm.com/ibm/history/ibm100/us/en/icons/bluegene/

  6. Rajovic, N., et al.: The Mont-Blanc prototype: an alternative approach for HPC systems. In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, SC 2016, Salt Lake City, UT, pp. 444–455 (2016). https://doi.org/10.1109/sc.2016.37

  7. Rajovic, N., Rico, A., Puzovic, N., Adeniyi-Jones, C., Ramirez, A.: Tibidabo: making the case for an ARM-based HPC system. Futur. Gener. Comput. Syst. 36, 322–334 (2014). https://doi.org/10.1016/j.future.2013.07.013

    Article  Google Scholar 

  8. Sorin, D.J., Hill, M.D., Wood, D.A.: A Primer on Memory Consistency and Cache Coherence, 1st edn. Morgan & Claypool Publishers, San Rafael (2011)

    Google Scholar 

  9. Lamport, L.: How to make a multiprocessor computer that correctly executes multiprocess programs. IEEE Trans. Comput. C-28(9), 690–691 (1979). https://doi.org/10.1109/TC.1979.1675439

    Article  MATH  Google Scholar 

  10. Sodani, A., et al.: Knights landing: second-generation Intel Xeon Phi product. IEEE Micro 36(2), 34–46 (2016). https://doi.org/10.1109/MM.2016.25

    Article  Google Scholar 

  11. Arm System IP Ltd.: CoreLink CMN-600: Arm developer. https://developer.arm.com/ip-products/system-ip/corelink-interconnect/corelink-coherent-mesh-network-family/corelink-cmn-600

  12. riscv/riscv-isa-sim (2017). https://github.com/riscv/riscv-isa-sim

  13. gem5. http://gem5.org/Main_Page

  14. RISC-V Processor IP & Tools for Cores & Subsystems: Bluespec (2019). https://bluespec.com/

  15. lowRISC. https://www.lowrisc.org/

  16. PULP platform: Open-source efficient RISC-V architecture. https://www.pulp-platform.org/

  17. Princeton Parallel Group: OpenPiton open source research processor (2017). http://parallel.princeton.edu/openpiton/index.html

  18. Lim, K., Balkind, J., Wentzlaff, D.: JuxtaPiton: enabling heterogeneous-ISA research with RISC-V and SPARC FPGA soft-cores. CoRR, abs/1811.08091 (2018)

    Google Scholar 

  19. PrincetonUniversity: Openpiton + ariane - preliminary support for ariane rv64imac core. https://github.com/PrincetonUniversity/openpiton/tree/openpiton-dev#preliminary-support-for-ariane-rv64imac-core

  20. Wentzlaff, D., et al.: On-chip interconnection architecture of the tile processor. IEEE Micro 27(5), 15–31 (2007). https://doi.org/10.1109/MM.2007.4378780

    Article  Google Scholar 

  21. Balkind, J., et al.: OpenPiton: an open source manycore research framework. In: Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2016 (2016). https://doi.org/10.1145/2872362.2872414

  22. Balkind, J., et al.: OpenPiton: an emerging standard for open-source EDA tool development

    Google Scholar 

  23. Schaffner, M., Balkind, J.: OpenPiton + Ariane Tutorial. Presentation, HiPEAC 2019, Valencia (2019). https://www.pulp-platform.org/docs/hipeac/openpiton_ariane_hipeac_tutorial.pdf

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Neiel I. Leyva-Santes , Ivan Pérez , César A. Hernández-Calderón , Enrique Vallejo , Miquel Moretó , Ramón Beivide , Marco A. Ramírez-Salinas or Luis A. Villa-Vargas .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Leyva-Santes, N.I. et al. (2019). Lagarto I RISC-V Multi-core: Research Challenges to Build and Integrate a Network-on-Chip. In: Torres, M., Klapp, J. (eds) Supercomputing. ISUM 2019. Communications in Computer and Information Science, vol 1151. Springer, Cham. https://doi.org/10.1007/978-3-030-38043-4_20

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-38043-4_20

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-38042-7

  • Online ISBN: 978-3-030-38043-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics