Skip to main content

Heterogeneous Integrations on Fan-Out RDL Substrates

  • Chapter
  • First Online:
Book cover Heterogeneous Integrations
  • 2255 Accesses

Abstract

As mentioned in Chap. 4 that TSV (through-silicon via) interposer is very expensive (Selvanayagam et al. in IEEE Trans Adv Pack 32(4), 2009, [1]; Chai et al. in IEEE Trans CPMT 1(5), 2011, [2]; Chien et al. in IMAPS Trans J Microelectron Pack 9, 2012, [3]; Chaware et al. in IEEE/ECTC Proc, 2012, [4]; Banijamali et al. in IEEE/ECTC Proc, 2011, [5]; Banijamali et al. in IEEE/ECTC Proc, 2012, [6]; Banijamali et al. in IEEE/ECTC Proc, 2013, [7]; Lau in 3D IC integration and packaging. McGraw-Hill, New York, 2016 [8]; Lau in Through-silicon via (TSV) for 3D integration. McGraw-Hill, New York, 2013, [9]; Lau in Reliability of RoHS compliant 2D & 3D IC interconnects. McGraw-Hill, New York, 2011, [10]) and a few silicon bridges have been proposed to replace the TSV interposers for heterogeneous integration applications. Recently, using the fan-out wafer/panel packaging technology (Lau in Fan-out wafer-level packaging. Springer, New York, 2018, [11]; Ko et al. in IEEE Trans CPMT, pp. 1561–1572, 2018, [12]; Lau et al. in IEEE Trans CPMT, pp. 1544–1560, 2018, [13]; Lau et al. in IMAPS Trans J Microelectron Electron Packag 15(4):148–162, 2018, [14]; Ko et al. in IEEE IMAPS Trans J Microelectron Electron Packag 15(4):141–147, 2018, [15]; Yoon et al. in IEEE/ECTC Proc: 1250–1254, 2012, [16]; Lau et al. in IEEE Trans CPMT 4(9):1407–1419, 2014, [17]; Lau et al. in IMAPS Trans J Microelectron Electron Packag:123–131, 2017, [18]; Lau et al. in IEEE Trans CPMT:991–1002, 2018, [19]; Lin et al. in IEEE/ECTC Proc:13–18, 2016, [20]) to make RDLs (redistribution-layers) on substrates to eliminate the TSV-interposer for heterogeneous integrations has been getting traction. At ECTC2013, Statschippac proposed using the fan-out flip chip to make the RDLs for the chips to perform mostly lateral communications. During ECTC2016, ASE and Mediatek used a similar technology to fabricate the RDLs with FOWLP and showed that the TSV interposer, wafer bumping, fluxing, chip-to-wafer bonding, cleaning, and underfill dispensing and curing are eliminated, i.e., TSV-less interposers. At ECTC2018, Samsung used chip-last or RDL-first to make the RDLs for the TSV-interposer. During SEMICON Taiwan 2018, TSMC also mentioned this technology and called it InFO_oS (integrated fan-out on substrate).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Selvanayagam, C., J. H. Lau, X. Zhang, S. Seah, K. Vaidyanathan, and T. Chai, “Nonlinear Thermal Stress/Strain Analyses of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps”, IEEE Transactions on Advanced Packaging, Vol. 32, No. 4, November 2009, pp. 720–728.

    Google Scholar 

  2. Chai, T. C., X. Zhang, J. H. Lau, C. S. Selvanayagam, D. Pinjala, et al., “Development of Large Die Fine-Pitch Cu/low-k FCBGA Package with through Silicon Via (TSV) Interposer”, IEEE Transactions on CPMT, Vol. 1, No. 5, May 2011, pp. 660–672.

    Google Scholar 

  3. Chien, H. C., J. H. Lau, Y. Chao, R. Tain, M. Dai, S. T. Wu, W. Lo, and M. J. Kao, “Thermal Performance of 3D IC Integration with Through-Silicon Via (TSV)”, IMAPS Transactions, Journal of Microelectronic Packaging, Vol. 9, 2012, pp. 97–103.

    Google Scholar 

  4. Chaware, R., K. Nagarajan, and S. Ramalingam, “Assembly and Reliability Challenges in 3D Integration of 28 nm FPGA Die on a Large High-Density 65 nm Passive Interposer”, IEEE/ECTC Proceedings, May 2012, pp. 279–283.

    Google Scholar 

  5. Banijamali, B., S. Ramalingam, K. Nagarajan, and R. Chaware, “Advanced Reliability Study of TSV Interposers and Interconnects for the 28 nm Technology FPGA”, IEEE/ECTC Proceedings, May 2011, pp. 285–290.

    Google Scholar 

  6. Banijamali, B., S. Ramalingam, H. Liu, and M. Kim, “Outstanding and Innovative Reliability Study of 3D TSV Interposer and Fine-Pitch Solder Micro-Bumps”, IEEE/ECTC Proceedings, May 2012, pp. 309–314.

    Google Scholar 

  7. Banijamali, B., C. Chiu, C. Hsieh, T. Lin, C. Hu, S. Hou, et al., “Reliability Evaluation of a CoWoS-Enabled 3D IC Package”, IEEE/ECTC Proceedings, May 2013, pp. 35–40.

    Google Scholar 

  8. Lau, J. H., 3D IC Integration and Packaging. New York: McGraw-Hill, 2016.

    Google Scholar 

  9. Lau, J. H., Through-Silicon Via (TSV) for 3D Integration. New York: McGraw-Hill, 2013.

    Google Scholar 

  10. Lau, J. H., Reliability of RoHS Compliant 2D & 3D IC Interconnects. New York: McGraw-Hill, 2011.

    Google Scholar 

  11. Lau, J. H., Fan-Out Wafer-Level Packaging. New York: Springer, 2018.

    Google Scholar 

  12. Ko, C. T., H. Yang, J. H. Lau, M. Li, M. Li, C. Lin, J. W. Lin, T. Chen, I. Xu, C. Chang, J. Pan, H. Wu, Q. Yong, N. Fan, E. Kuah, Z. Li, K. Tan, Y. Cheung, E. Ng, K. Wu, J. Hao, R. Beica, M. Lin, Y. Chen, Z. Cheng, S. Koh, R. Jiang, X. Cao, S. Lim, N. Lee, M. Tao, J. Lo, and R. Lee, “Chip-First Fan-Out Panel-Level Packaging for Heterogeneous Integration”, IEEE Transactions on CPMT, September 2018, pp. 1561–1572.

    Google Scholar 

  13. Lau, J. H., M. Li, M. Li, T. Chen, I. Xu, X. Qing, Z. Cheng, N. Fan, E. Kuah, Z. Li, K. Tan, Y. Cheung, E. Ng, P. Lo, K. Wu, J. Hao, S. Koh, R. Jiang, X. Cao, R. Beica, S. Lim, N. Lee, C. Ko, H. Yang, Y. Chen, M. Tao, J. Lo, and R. Lee, “Fan-Out Wafer-Level Packaging for Heterogeneous Integration”, IEEE Transactions on CPMT, 2018, September 2018, pp. 1544–1560.

    Google Scholar 

  14. Lau, J. H., M. Li, Y. Lei, M. Li, I. Xu, T. Chen, Q. Yong, Z. Cheng, et al., “Reliability of Fan-Out Wafer-Level Heterogeneous Integration”, IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, Vol. 15, Issue: 4, October 2018, pp. 148–162.

    Google Scholar 

  15. Ko, C. T., H. Yang, J. H. Lau, M. Li, M. Li, I. Xu, et al., “Design, Materials, Process, and Fabrication of Fan-Out Panel-Level Heterogeneous Integration”, IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, Vol. 15, Issue: 4, October 2018, pp. 141–147.

    Google Scholar 

  16. Yoon, S., J. Caparas, Y. Lin, and P. Marimuthu, “Advanced Low Profile PoP Solution with Embedded Wafer Level PoP (eWLB-PoP) Technology”, IEEE/ECTC Proceedings, 2012, pp. 1250–1254.

    Google Scholar 

  17. Lau, J. H., C. Lee, C. Zhan, S. Wu, Y. Chao, M. Dai, R. Tain, H. Chien, et al., “Low-Cost Through-Silicon Hole Interposers for 3D IC Integration”, IEEE Transactions on CPMT, Vol. 4, No. 9, September 2014, pp. 1407–1419.

    Google Scholar 

  18. Lau, J. H., M. Li, N. Fan, E. Kuah, Z. Li, K. Tan, T. Chen, et al., “Fan-Out Wafer-Level Packaging (FOWLP) of Large Chip with Multiple Redistribution-Layers (RDLs)”, IMAPS Transactions Journal of Microelectronics and Electronic Packaging, October 2017, pp. 123–131.

    Google Scholar 

  19. Lau, J. H., M. Li, Q. Li, I. Xu, T. Chen, Z. Li, K. Tan, X. Qing, C. Zhang, K. Wee. R. Beica, C. Ko, S. Lim, N. Fan, E. Kuah, K. Wu, Y. Cheung, E. Ng, X. Cao, J. Ran, H. Yang, Y. Chen, N. Lee, M. Tao, J. Lo, and R. Lee, “Design, Materials, Process, and Fabrication of Fan-Out Wafer-Level Packaging”, IEEE Transactions on CPMT, June 2018, pp. 991–1002.

    Google Scholar 

  20. Lin, Y., W. Lai, C. Kao, J. Lou, P. Yang, C. Wang, and C. Hseih, “Wafer Warpage Experiments and Simulation for Fan-Out Chip on Substrate”, IEEE/ECTC Proceedings, May 2016, pp. 13–18.

    Google Scholar 

  21. Pendse, R., “Semiconductor Device and Method of Forming Extended Semiconductor Device with Fan-Out Interconnect Structure to Reduce Complexity of Substrate”, Filed on December 23, 2011, US 2013/0161833 A1, pub. Date: June 27, 2013.

    Google Scholar 

  22. Yoon, S. W., P. Tang, R. Emigh, Y. Lin, P. C. Marimuthu, and R. Pendse, “Fan-Out Flip-Chip eWLB (Embedded Wafer-Level Ball Grid Array) Technology as 2.5D Packaging Solutions”, Proceedings of IEEE/ECTC, May 2013, pp. 1855–1860.

    Google Scholar 

  23. Lin, Y., W. Lai, C. Kao, J. Lou, P. Yang, C. Wang, et al., “Wafer Warpage Experiments and Simulation for Fan-Out Chip-on-Substrate”, Proceedings of IEEE/ECTC, May 2016, pp. 13–18.

    Google Scholar 

  24. Lee, Y., W. Lai, I. Hu, M. Shih, C. Kao, D. Tarng, and C. Hung, “Fan-Out Chip on Substrate Device Interconnection Reliability Analysis”, Proceedings of IEEE/ECTC, May 2017, pp. 22–27.

    Google Scholar 

  25. Chen, N. C., T. Hsieh, J. Jinn, P. Chang, F. Huang, J. Xiao, A. Chou, and B. Lin, “A Novel System in Package with Fan-Out WLP for High Speed SERDES Application”, IEEE/ECTC Proceedings, May 2016, pp. 1496–1501.

    Google Scholar 

  26. Yu, D., “Advanced System Integration Technology Trends”, SiP Global Summit, SEMICON Taiwan, September 6, 2018.

    Google Scholar 

  27. Suk, K., S. Lee, J. Kim, S. Lee, H. Kim, S. Lee, et al., “Low-Cost Si-Less RDL Interposer Package for High-Performance Computing Applications”, Proceedings of IEEE/ECTC, May 2018, pp. 64–69.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to John H. Lau .

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Lau, J.H. (2019). Heterogeneous Integrations on Fan-Out RDL Substrates. In: Heterogeneous Integrations. Springer, Singapore. https://doi.org/10.1007/978-981-13-7224-7_6

Download citation

  • DOI: https://doi.org/10.1007/978-981-13-7224-7_6

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-13-7223-0

  • Online ISBN: 978-981-13-7224-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics