Skip to main content

Probabilistic Error Analysis of Approximate Adders and Multipliers

  • Chapter
  • First Online:
Approximate Circuits

Abstract

Approximate adders and multipliers are widely being advocated to be used in error resilient applications. A very important performance metric in this regard is the probability of occurrence of error in these arithmetic circuits as this allows us to choose the most efficient configuration of an adder or multiplier for a given application. In this chapter, we present an analytical error analysis approach for approximate adders, which comprise of subadder units, and recursive approximate multipliers with approximate partial products. We also derive probability mass function (PMF) of error for both of the considered adder and multiplier models. The results show that the proposed analysis serves as an effective tool for predicting, evaluating, and comparing the accuracy of various approximate adders and multipliers. For illustration purposes, we also show that the comparative performance of different approximate adders and multipliers can be correctly predicted in practical applications of image processing.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Another class of inexact computing is probabilistic computing, in which probabilistic switches are used, so that, in addition to the random inputs, the circuit’s function is also random [22].

References

  1. Xu Q, Kim NS, Mytkowicz T (2016) Approximate computing: a survey. IEEE Des Test 33(1):8–22

    Article  Google Scholar 

  2. Shafique M, Hafiz R, Rehman S, El-Harouni W, Henkel J (2016) Cross-layer approximate computing: from logic to architectures. In: Proceedings of 53rd IEEE/ACM design automation conference

    Google Scholar 

  3. Zhang Q, Wang T, Tian Y, Yuan F, Xu Q (2015) ApproxANN: an approximate computing framework for artificial neural network. In: Proceedings of the 2015 design, automation & test in Europe conference & exhibition. EDA Consortium, San Jose, pp 701–706

    Google Scholar 

  4. Venkataramani S, Sabne A, Kozhikkottu V, Roy K, Raghunathan A (2012) SALSA: systematic logic synthesis of approximate circuits. In: Proceedings of 49th IEEE/ACM design automation conference, pp 796–801

    Google Scholar 

  5. Ranjan A, Raha A, Venkataramani S, Roy K, Raghunathan A (2014) ASLAN: synthesis of approximate sequential circuits. In: Proceedings of design, automation test Europe conference & exhibition, p 364

    Google Scholar 

  6. Shafique M, Ahmad W, Hafiz R, Henkel J (2015) A low latency generic accuracy configurable adder. In: Proceedings of 52nd annual design automation conference, p 86

    Google Scholar 

  7. Kahng AB, Kang S (2012) Accuracy-configurable adder for approximate arithmetic designs. In: Proceedings of 49th annual design automation conference, pp 820–825

    Google Scholar 

  8. Du K, Varman P, Mohanram K (2012) High performance reliable variable latency carry select addition. In: Proceedings of design, automation test Europe conference & exhibition, pp 1257–1262

    Google Scholar 

  9. Gupta V, Mohapatra D, Raghunathan A, Roy K (2013) Low-power digital signal processing using approximate adders. IEEE Trans Comput Aided Des Integr Circuits Syst 32(1):124–137

    Article  Google Scholar 

  10. Bhardwaj K, Mane PS (2013) ACMA: accuracy-configurable multiplier architecture for error-resilient system-on-chip. In: Proceedings of 8th international workshop on reconfigurable communication-centric systems-on-chip, pp 1–6

    Google Scholar 

  11. Kulkarni P, Gupta P, Ercegovac MD (2011) Trading accuracy for power in a multiplier architecture. J Low Power Electron 7(4):490–501

    Article  Google Scholar 

  12. Chen I-C, Hayes JP (2015) Low-area and high-speed approximate matrix-vector multiplier. In: IEEE 18th international symposium design diagnostics of electronic circuits & systems, pp 23–28

    Google Scholar 

  13. Momeni A, Han J, Montuschi P, Lombardi F (2015) Design and analysis of approximate compressors for multiplication. IEEE Trans Comput 64(4):984–994

    Article  MathSciNet  Google Scholar 

  14. Gupta V, Mohapatra D, Park SP, Raghunathan A, Roy K (2011) IMPACT: imprecise adders for low-power approximate computing. In: Proceedings of 17th IEEE/ACM international symposium low-power electronics and design, pp 409–414

    Google Scholar 

  15. Rehman S, El-Harouni W, Shafique M, Kumar A, Henkel J (2016) Architectural-space exploration of approximate multipliers. In: Proceedings of international conference on computer-aided design, pp 1–6

    Google Scholar 

  16. Ma J, Man K, Krilavicius T, Guan S, Jeong T (2011) Implementation of high performance multipliers based on approximate compressor design. In: Proceedings of international conference electrical and control technology

    Google Scholar 

  17. Zhu N, Goh WL, Yeo KS (2009) An enhanced low-power high-speed adder for error-tolerant application. In: Proceedings of 12th international symposium on integrated circuits, pp 69–72

    Google Scholar 

  18. Ye R, Wang T, Yuan F, Kumar R, Xu Q (2013) On reconfiguration-oriented approximate adder design and its application. In: Proceedings of international conference computing-aided Design, pp 48–54

    Google Scholar 

  19. Hashemi S, Bahar R, Reda S (2015) Drum: a dynamic range unbiased multiplier for approximate applications. In: Proceedings of the IEEE/ACM international conference on computer-aided design. IEEE Press, Piscataway, pp 418–425

    Google Scholar 

  20. Nepal K, Li Y, Bahar R, Reda S (2014) Abacus: a technique for automated behavioral synthesis of approximate computing circuits. In: Proceedings of the conference on design, automation & test in Europe. European Design and Automation Association, Leuven, p 361

    Google Scholar 

  21. Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J (2017) Probabilistic error modeling for approximate adders. IEEE Trans Comput 66(3):515–530

    Article  MathSciNet  Google Scholar 

  22. Liang J, Han J, Lombardi F (2013) New metrics for the reliability of approximate and probabilistic adders. IEEE Trans Comput 62(9):1760–1771

    Article  MathSciNet  Google Scholar 

  23. Ayub MK, Hasan O, Shafique M (2017) Statistical error analysis of low power approximate adders. In: Design automation conference. ACM, New York

    Google Scholar 

  24. Chan W-TJ, Kahng A, Kang S, Kumar R, Sartori J (2013) Statistical analysis and modeling for error composition in approximate computation circuits. In: Proceedings of IEEE 31st international conference computing design, pp 47–53

    Google Scholar 

  25. Venkatesan R, Agarwal A, Roy K, Raghunathan A (2011) MACACO: modeling and analysis of circuits for approximate computing. In: Proceedings of international conference on computer-aided design, pp 667–673

    Google Scholar 

  26. Han J, Orshansky M (2013) Approximate computing: an emerging paradigm for energy-efficient design. In: 18th IEEE European test symposium, pp 1–6

    Google Scholar 

  27. Mazahir S, Hasan O, Shafique M (2017) Adaptive approximate computing in arithmetic datapaths. IEEE Design Test 35: 65–74

    Article  Google Scholar 

  28. Almurib HA, Kumar T, Lombardi F (2016) Inexact designs for approximate low power addition by cell replacement. In: Design, automation & test in Europe. IEEE, Piscataway, pp 660–665

    Google Scholar 

  29. Mazahir S, Hasan O, Hafiz R, Shafique M (2017) Probabilistic error analysis of approximate recursive multipliers. IEEE Trans Comput 66(11):1982–1990

    Article  MathSciNet  Google Scholar 

  30. Lin C-H, Lin C (2013) High accuracy approximate multiplier with error correction. In: Proceedings of IEEE 31st international conference computing design, pp 33–38

    Google Scholar 

  31. Snigdha FS, Sengupta D, Hu J, Sapatnekar SS (2016) Optimal design of jpeg hardware under the approximate computing paradigm. In: Design automation conference. ACM, New York, pp 106:1–106:6

    Google Scholar 

  32. Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J (2016) An area-efficient consolidated configurable error correction for approximate hardware accelerators. In: Proceedings of IEEE/ACM 53rd design automation conference

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sana Mazahir .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Mazahir, S., Ayub, M.K., Hasan, O., Shafique, M. (2019). Probabilistic Error Analysis of Approximate Adders and Multipliers. In: Reda, S., Shafique, M. (eds) Approximate Circuits. Springer, Cham. https://doi.org/10.1007/978-3-319-99322-5_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-99322-5_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-99321-8

  • Online ISBN: 978-3-319-99322-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics