Skip to main content

Approximate Computing and Its Application to Hardware Security

  • Chapter

Abstract

The demand for high speed and low power in nanoscale integrated circuits (ICs) for many applications, such as image and multimedia data processing, artificial intelligence, and machine learning, where results of the highest accuracy may not be needed, has motivated the development of approximate computing. Approximate circuits, in particular approximate arithmetic units, have been studied extensively and made significant impact on the power performance of such systems. The first goal of this chapter is to review both the existing approximate arithmetic circuitries, which include adders, multipliers, and dividers, and popular approximate algorithms. The second goal of this chapter is to explore broader applications of approximate computing. As an example, we review two case studies, one on a lightweight device authentication scheme based on erroneous adders and the other one on information hiding behind a newly proposed approximate data format. This approach of applying approximate computing in security is interesting and promising in the Internet of things (IoT) domain where the devices are extremely resource constrained and cannot afford conventional cryptographic solutions to provide data security and user privacy. We also discuss the potential of approximate computing in building hardware security primitives for cyber physical system (CPS) and IoT devices.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. J. Albericio, P. Judd, T. Hetherington, T. Aamodt, N.E. Jerger, A. Moshovos, Cnvlutin: ineffectual-neuron-free deep neural network computing, in Proceedings of ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA’16) (IEEE, New York, 2016), pp. 1–13

    Google Scholar 

  2. H. Almurib, N. Kumar, F. Lombardi, Inexact designs for approximate low power addition by cell replacement, in Proceedings of IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE) (2016), pp. 660–665

    Google Scholar 

  3. M. Arafin, M. Gao, G. Qu, VOLtA: voltage over-scaling based lightweight authentication for IoT applications, in Proceedings of 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (2017), pp. 336–341. https://doi.org/10.1109/ASPDAC.2017.7858345

  4. G.T. Becker, On the pitfalls of using arbiter-PUFs as building blocks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(8), 1295–1307 (2015)

    Article  Google Scholar 

  5. G.T. Becker, The gap between promise and reality: on the insecurity of XOR arbiter PUFs, in Proceedings of International Workshop on Cryptographic Hardware and Embedded Systems (CHES’15) (Springer, Berlin, 2015), pp. 535–555

    MATH  Google Scholar 

  6. V. Camus, J. Schlachter, C. Enz, A low-power carry cut-back approximate adder with fixed-point implementation and floating-point precision, in Proceedings of 53rd Annual Design Automation Conference (DAC) (2016), p. 127

    Google Scholar 

  7. T. Cao, W. Liu, C. Wang, X. Cui, F. Lombardi, Design of approximate redundant binary multipliers, in Proceedings of IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH) (2016), pp. 31–36. https://doi.org/10.1145/2950067.2950094

  8. L. Chang, Cognitive data-centric systems, in Proceedings of Great Lakes Symposium on VLSI (GLSVLSI) (2017), pp 1–1. http://doi.acm.org/10.1145/3060403.3060491

  9. S. Cheemalavagu, P. Korkmaz, K. Palem, B. Akgul, L. Chakrapani, A probabilistic CMOS switch and its realization by exploiting noise, in Proceeding of IFIP International Conference on VLSI (2005), pp. 535–541

    Google Scholar 

  10. W. Chen, J. Wilson, S. Tyree, K. Weinberger, Y. Chen, Compressing neural networks with the hashing trick, in Proceeding of International Conference on Machine Learning (2015), pp. 2285–2294

    Google Scholar 

  11. L. Chen, J. Han, W. Liu, F. Lombardi, Design of approximate unsigned integer non-restoring divider for inexact computing, in Proceedings of ACM 25th Edition on Great Lakes Symposium on VLSI (GLSVLSI) (2015), pp. 51–56. http://doi.acm.org/10.1145/2742060.2742063

  12. L. Chen, J. Han, W. Liu, F. Lombardi, On the design of approximate restoring dividers for error-tolerant applications. IEEE Trans. Comput. 65(8), 2522–2533 (2016). https://doi.org/10.1109/TC.2015.2494005

    Article  MathSciNet  MATH  Google Scholar 

  13. L. Chen, F. Lombardi, P. Montuschi, J. Han, W. Liu, Design of approximate high-radix dividers by inexact binary signed-digit addition, in Proceedings of Great Lakes Symposium on VLSI (GLSVLSI), New York (2017), pp. 293–298. http://doi.acm.org/10.1145/3060403.3060404

  14. V. Chippa, S. Chakradhar, K. Roy, A. Raghunathan, Analysis and characterization of inherent application resilience for approximate computing, in Proceedings of 50th Annual Design Automation Conference (DAC) (2013), p. 113

    Google Scholar 

  15. M. Courbariaux, Y. Bengio, J.-P. David, Binaryconnect: training deep neural networks with binary weights during propagations, in Advances in Neural Information Processing Systems (2015), pp. 3123–3131

    Google Scholar 

  16. M. Courbariaux, I. Hubara, D. Soudry, R. El-Yaniv, Y. Bengio, Binarized neural networks: training deep neural networks with weights and activations constrained to +1 or −1 (2016). http://arxiv.org/abs/1602.02830. 1602.02830

  17. K. Du, P. Varman, K. Mohanram, High performance reliable variable latency carry select addition, in Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, New York, 2012), pp. 1257–1262

    Google Scholar 

  18. D. Esposito, D.D. Caro, E. Napoli, N. Petra, A.G.M. Strollo, Variable latency speculative Han-Carlson adder. IEEE Trans. Circuits Syst. Regul. Pap. 62(5), 1353–1361 (2015). https://doi.org/10.1109/TCSI.2015.2403036

    Article  Google Scholar 

  19. M. Gao, Q. Wang, M.T. Arafin, Y. Lyu, G. Qu, Approximate computing for low power and security in the internet of things. Computer 50(6), 27–34 (2017). https://doi.org/10.1109/MC.2017.176

    Article  Google Scholar 

  20. R. Gilmore, N. Hanley, M. O’Neill, Neural network based attack on a masked implementation of AES, in Proceedings of IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (2015), pp. 106–111. https://doi.org/10.1109/HST.2015.7140247

  21. I. Goiri, R. Bianchini, S. Nagarakatte, T. Nguyen, ApproxHadoop: bringing approximations to mapreduce frameworks, in Proceedings of ACM SIGARCH Computer Architecture News, vol. 43 (ACM, New York, 2015), pp. 383–397

    Google Scholar 

  22. C. Gu, N. Hanley, M. O’Neill, Improved reliability of FPGA-based PUF identification generator design. ACM Trans. Reconfig. Technol. Syst. 10(3), 20:1–20:23 (2017). http://doi.acm.org/10.1145/3053681

    Article  Google Scholar 

  23. J. Guajardo, S.S. Kumar, G.J. Schrijen, P. Tuyls FPGA intrinsic PUFs and their use for IP protection. Vienna (2007)

    Google Scholar 

  24. V. Gupta, D. Mohapatra, A. Raghunathan, K. Roy, Low-power digital signal processing using approximate adders. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(1), 124–137 (2013)

    Article  Google Scholar 

  25. J. Han, M. Orshansky, Approximate computing: an emerging paradigm for energy-efficient design, in Proceedings of the 18th IEEE European Test Symposium (ETS) (2013), pp. 1–6. https://doi.org/10.1109/ETS.2013.6569370

  26. S. Han, J. Pool, J. Tran, W. Dally, Learning both weights and connections for efficient neural network, in Proceedings of Advances in Neural Information Processing Systems (2015), pp. 1135–1143

    Google Scholar 

  27. K. Hasegawa, M. Oya, M. Yanagisawa, N. Togawa, Hardware trojans classification for gate-level netlists based on machine learning, in Proceedings of IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS) (2016), pp. 203–206. https://doi.org/10.1109/IOLTS.2016.7604700

  28. K. Hasegawa, M. Yanagisawa, N. Togawa, Hardware trojans classification for gate-level netlists using multi-layer neural networks, in Proceedings of IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS) (2017), pp. 227–232. https://doi.org/10.1109/IOLTS.2017.8046227

  29. S. Hashemi, R. Bahar, S. Reda, Drum: a dynamic range unbiased multiplier for approximate applications, in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (IEEE Press, New York, 2015), pp. 418–425

    Google Scholar 

  30. S. Hashemi, R.I. Bahar, S. Reda, A low-power dynamic divider for approximate applications, in Proceedings of 53rd Annual Design Automation Conference (DAC), New York (2016), pp. 105:1–105:6. http://doi.acm.org/10.1145/2897937.2897965

  31. A. Heuser, M. Zohner, Intelligent machine homicide, in Proceeding of International Workshop on Constructive Side-Channel Analysis and Secure Design (Springer, New York, 2012), pp. 249–264

    Google Scholar 

  32. I. Hong, D. Kirovski, G. Qu, M. Potkonjak, M.B. Srivastava, Power optimization of variable-voltage core-based systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(12), 1702–1714 (1999). https://doi.org/10.1109/43.811318

    Article  Google Scholar 

  33. G. Hospodar, B. Gierlichs, E. De Mulder, I. Verbauwhede, J. Vandewalle, Machine learning in side-channel analysis: a first study. J. Cryptogr. Eng. 1(4), 293 (2011). https://doi.org/10.1007/s13389-011-0023-x

    Article  Google Scholar 

  34. J. Hruska, Nvidia’s CEO declares Moore’s law dead (2017). https://www.extremetech.com/computing/256558-nvidias-ceo-declares-moores-law-dead

  35. S. Hua, G. Qu, S.S. Bhattacharyya, An energy reduction technique for multimedia application with tolerance to deadline misses, in Proceedings of Design Automation Conference (IEEE, New York, 2003), pp. 131–136

    Google Scholar 

  36. S. Hua, G. Qu, S.S. Bhattacharyya, Probabilistic design of multimedia embedded systems. ACM Trans. Embed. Comput. Syst. 6(3) (2007). http://doi.acm.org/10.1145/1275986.1275987

    Article  Google Scholar 

  37. IEEE Standard for Floating-Point Arithmetic (2008). IEEE Std 754-2008, pp. 1–70. https://doi.org/10.1109/IEEESTD.2008.4610935

  38. Y. Ikezaki, Y. Nozaki, M. Yoshikawa, Deep learning attack for physical unclonable function, in 2016 IEEE 5th Global Conference on Consumer Electronics (2016), pp. 1–2. https://doi.org/10.1109/GCCE.2016.7800478

  39. ITRS 2.0 home page (Last accessed 16 January 2018). http://www.itrs2.net/

  40. H. Jiang, J. Han, F. Qiao, F. Lombardi, Approximate radix-8 booth multipliers for low-power and high-performance operation. IEEE Trans. Comput. 65(8), 2638–2644 (2016)

    Article  MathSciNet  MATH  Google Scholar 

  41. H. Jiang, C. Liu, L. Liu, F. Lombardi, J. Han, A review, classification, and comparative evaluation of approximate arithmetic circuits. Emerg. Technol. Comput. Syst. 13(4), 60:1–60:34 (2017). http://doi.acm.org/10.1145/3094124

    Article  Google Scholar 

  42. N. Jouppi, C. Young, N. Patil, D. Patterson, G. Agrawal, R. Bajwa, S. Bates, S. Bhatia, N. Boden, A. Borchers, In-datacenter performance analysis of a tensor processing unit, in Proceeding of 44th Annual International Symposium on Computer Architecture (ACM, New York, 2017), pp. 1–12

    Google Scholar 

  43. A.B. Kahng, S. Kang, Accuracy-configurable adder for approximate arithmetic designs, in Proceedings of 49th Annual Design Automation Conference (DAC) (2012), pp. 820–825

    Google Scholar 

  44. Y. Kim, Y. Zhang, P. Li, An energy efficient approximate adder with carry skip for error resilient neuromorphic VLSI systems, in Proceedings of the International Conference on Computer-Aided Design (IEEE Press, New York, 2013), pp. 130–137

    Google Scholar 

  45. S.R. Kuang, J.P. Wang, C.Y. Guo, Modified booth multipliers with a regular partial product array. IEEE Trans. Circuits Syst. Express Briefs 56(5), 404–408 (2009). https://doi.org/10.1109/TCSII.2009.2019334

    Article  Google Scholar 

  46. P. Kulkarni, P. Gupta, M. Ercegovac, Trading accuracy for power with an underdesigned multiplier architecture, in Proceedings of 24th IEEE International Conference on VLSI Design (2011), pp. 346–351

    Google Scholar 

  47. A. Kulkarni, Y. Pino, T. Mohsenin, SVM-based real-time hardware trojan detection for many-core platform, in Proceedings of 17th International Symposium on Quality Electronic Design (ISQED) (2016), pp. 362–367. https://doi.org/10.1109/ISQED.2016.7479228

  48. K. Kyaw, W. Goh, K. Yeo, Low-power high-speed multiplier for error-tolerant application, in Proceedings of IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC) (IEEE, New York, 2010), pp. 1–4

    Google Scholar 

  49. L. Lerman, G. Bontempi, O. Markowitch, A machine learning approach against a masked aes. J. Cryptogr. Eng. 5(2), 123–139 (2015). https://doi.org/10.1007/s13389-014-0089-3

    Article  Google Scholar 

  50. L. Lerman, R. Poussier, O. Markowitch, F.X. Standaert, Template attacks versus machine learning revisited and the curse of dimensionality in side-channel analysis: extended version. J. Cryptogr. Eng. (2017). https://doi.org/10.1007/s13389-017-0162-9

    Article  Google Scholar 

  51. W. Liu, L. Chen, C. Wang, M. O’Neill, F. Lombardi, Design and analysis of inexact floating-point adders. IEEE Trans. Comput. 65(1), 308–314 (2016). https://doi.org/10.1109/TC.2015.2417549

    Article  MathSciNet  MATH  Google Scholar 

  52. W. Liu, L. Qian, C. Wang, H. Jiang, J. Han, F. Lombardi, Design of approximate radix-4 booth multipliers for error-tolerant computing. IEEE Trans. Comput. 66(8), 1435–1441 (2017). https://doi.org/10.1109/TC.2017.2672976

    Article  MathSciNet  MATH  Google Scholar 

  53. W. Liu, J. Xu, D. Wang, C. Wang, P. Montuschi, F. Lombardi, Design and evaluation of approximate logarithmic multipliers for low power error-tolerant applications. IEEE Trans. Circuits Syst. Regul. Pap. 65(9), 2856–2868 (2018)

    Article  Google Scholar 

  54. S.L. Lu, Speeding up processing with approximation circuits. Computer 37(3), 67–73 (2004)

    Article  MathSciNet  Google Scholar 

  55. Y. Ma, N. Suda, Y. Cao, J. Seo, S. Vrudhula, Scalable and modularized RTL compilation of convolutional neural networks onto FPGA, in Proceedings of 26th International Conference on Field Programmable Logic and Applications (FPL) (IEEE, New York, 2016), pp. 1–8

    Google Scholar 

  56. H. Maghrebi, T. Portigliatti, E. Prouff, Breaking cryptographic implementations using deep learning techniques, in Proceedings of International Conference on Security, Privacy, and Applied Cryptography Engineering (Springer, Berlin, 2016), pp. 3–26

    Book  Google Scholar 

  57. H. Mahdiani, A. Ahmadi, S. Fakhraie, C. Lucas, Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. IEEE Trans. Circuits Syst. Regul. Pap. 57(4), 850–862 (2010)

    Article  MathSciNet  Google Scholar 

  58. J. Mitchell, Computer multiplication and division using binary logarithms. IRE Trans. Electron. Comput. EC-11(4), 512–517 (1962)

    Article  MathSciNet  MATH  Google Scholar 

  59. D. Modha, R. Ananthanarayanan, S. Esser, A. Ndirango, A. Sherbondy, R. Singh, Cognitive computing. Commun. ACM 54(8), 62–71 (2011)

    Article  Google Scholar 

  60. D. Mohapatra, V. Chippa, A. Raghunathan, K. Roy, Design of voltage-scalable meta-functions for approximate computing, in Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, New York, 2011), pp. 1–6

    Google Scholar 

  61. A. Momeni, J. Han, P. Montuschi, F. Lombardi, Design and analysis of approximate compressors for multiplication. IEEE Trans. Comput. 64(4), 984–994 (2015)

    Article  MathSciNet  MATH  Google Scholar 

  62. A. Nordrum, Popular internet of things forecast of 50 billion devices by 2020 is outdated (2016). https://spectrum.ieee.org/tech-talk/telecom/internet/popular-internet-of-things-forecast-of-50-/billion-devices-by-2020-is-outdated

  63. S. Nowick, Design of a low-latency asynchronous adder using speculative completion. IEEE Proc. Comput. Digital Technol. 143(5), 301–307 (1996)

    Article  Google Scholar 

  64. B. Parhami, Computer Arithmetic: Algorithms and Hardware Designs (Oxford University Press, Oxford, 2000)

    Google Scholar 

  65. C. Peter, ARM’s lead engineer discusses inexact processing EE Times (2013). https://www.eetimes.com/author.asp?section_id=36&doc_id=1318829

  66. G. Qu, L. Yuan, Design things for the internet of things: an eda perspective, in 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2014), pp. 411–416. https://doi.org/10.1109/ICCAD.2014.7001384

  67. J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Security analysis of logic obfuscation, in Proceedings of Design Automation Conference (DAC) (2012), pp. 83–89. https://doi.org/10.1145/2228360.2228377

  68. U. Rührmair, F. Sehnke, J. Sölter, G. Dror, S. Devadas, J. Schmidhuber, Modeling attacks on physical unclonable functions, in Proceedings of 17th ACM Conference on Computer and Communications Security(CCS’10), Chicago (2010), pp. 237–249

    Google Scholar 

  69. U. Rührmair, J. Sölter, F. Sehnke, X. Xu, A. Mahmoud, V. Stoyanova, G. Dror, J. Schmidhuber, W. Burleson, S. Devadas, PUF modeling attacks on simulated and silicon data. IEEE Trans. Inf. Forensics Secur. 8(11), 1876–1891 (2013)

    Article  Google Scholar 

  70. M. Samadi, S. Mahlke, CPU-GPU collaboration for output quality monitoring, in Proceedings of 1st Workshop on Approximate Computing Across the System Stack (2014), pp. 1–3

    Google Scholar 

  71. M. Shafique, W. Ahmad, R. Hafiz, J. Henkel, A low latency generic accuracy configurable adder, in Proceedings of 52nd Design Automation Conference (DAC) (2015), pp 1–6. https://doi.org/10.1145/2744769.2744778

  72. T. Simonite, (Last accessed 12 January 2018) This chip is hardwired to make mistakes but could help computers understand the world. https://www.technologyreview.com/s/601263/why-a-chip-thats-bad-at-math-can-help-computers/-tackle-harder-problems/

  73. V. Sze, Y. Chen, T. Yang, J. Emer, Efficient processing of deep neural networks: a tutorial and survey. Proc. IEEE 105(12), 2295–2329 (2017). https://doi.org/10.1109/JPROC.2017.2761740

    Article  Google Scholar 

  74. Y. Tian, Q. Zhang, T. Wang, F. Yuan, Q. Xu, ApproxMA: approximate memory access for dynamic precision scaling, in Proceedings of 25th Edition on Great Lakes Symposium on VLSI (GLSVLSI) (2015), pp. 337–342. http://doi.acm.org/10.1145/2742060.2743759

  75. J. Tobisch, G.T. Becker, On the scaling of machine learning attacks on PUFs with application to noise bifurcation, in Proceedings of International Workshop on Radio Frequency Identification: Security and Privacy Issues (Springer, Berlin, 2015), pp. 17–31

    Google Scholar 

  76. TrustHub (Last accessed 12 January 2018) Trusthub.org. http://trust-hub.org/

  77. S. Venkataramani, S.T. Chakradhar, K. Roy, A. Raghunathan, Approximate computing and the quest for computing efficiency, in Proceedings of 52nd Annual Design Automation Conference (DAC) (2015), pp. 120:1–120:6. http://doi.acm.org/10.1145/2744769.2751163

  78. A. Verma, P. Brisk, P. Ienne, Variable latency speculative addition: a new paradigm for arithmetic circuit design, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), pp. 1250–1255 (2008)

    Google Scholar 

  79. X. Xie, Y. Sun, H. Chen, Y. Ding, Hardware trojans classification based on controllability and observability in gate-level netlist. IEICE Electronics Express (2017). https://doi.org/10.1587/elex.14.20170682

    Article  Google Scholar 

  80. Q. Xu, T. Mytkowicz, N. Kim Approximate computing: a survey. IEEE Design Test 33(1), 8–22 (2016). https://doi.org/10.1109/MDAT.2015.2505723

    Article  Google Scholar 

  81. Z. Yang, A. Jain, J. Liang, J. Han, F. Lombardi, Approximate XOR/XNOR-based adders for inexact computing, in Proceedings of 13th IEEE Conference on Nanotechnology (IEEE-NANO) (IEEE, New York, 2013), pp 690–693

    Google Scholar 

  82. A. Yazdanbakhsh, D. Mahajan, H. Esmaeilzadeh, P. Lotfi-Kamran AxBench: a multiplatform benchmark suite for approximate computing. IEEE Design Test 34(2), 60–68 (2017). https://doi.org/10.1109/MDAT.2016.2630270

    Article  Google Scholar 

  83. R. Ye, T. Wang, F. Yuan, R. Kumar, Q. Xu, On reconfiguration-oriented approximate adder design and its application, in Proceedings of IEEE International Conference on Computer-Aided Design (IEEE Press, New York, 2013), pp. 48–54

    Google Scholar 

  84. W.C. Yeh, C.W. Jen, High-speed booth encoded parallel multiplier design. IEEE Trans. Comput. 49(7), 692–701 (2000). https://doi.org/10.1109/12.863039

    Article  MathSciNet  Google Scholar 

  85. T. Yeh, P. Faloutsos, M. Ercegovac, S. Patel, G. Reinman, The art of deception: adaptive precision reduction for area efficient physics acceleration, in Proceedings of 40th Annual IEEE/ACM International Symposium on Microarchitecture (IEEE, New York, 2007), pp. 394–406

    Google Scholar 

  86. G. Zervakis, K. Tsoumanis, S. Xydis, N. Axelos, K. Pekmestzi, Approximate multiplier architectures through partial product perforation: power-area tradeoffs analysis, in Proceedings of 25th Edition on Great Lakes Symposium on VLSI (GLSVLSI) (ACM, New York, 2015), pp. 229–232

    Google Scholar 

  87. A. Zhou, A. Yao, Y. Guo, L. Xu, Y. Chen, Incremental network quantization: towards lossless CNNs with low-precision weights. CoRR abs/1702.03044 (2017). http://arxiv.org/abs/1702.03044. http://1702.03044

  88. N. Zhu, W.L. Goh, K.S. Yeo, An enhanced low-power high-speed adder for error-tolerant application, in Proceedings of 12th IEEE International Symposium on Integrated Circuits (IEEE, New York, 2009), pp. 69–72

    Google Scholar 

Download references

Acknowledgements

This work was partly supported by the National Natural Science Foundation of China (61871216 and 61771239), by Nature Science Foundation of Jiangsu Province (BK20151477), by Six Talent Peaks Project in Jiangsu Province (2018XYDXX-009), by the Institute for Information and Communications Technology Promotion (IITP) grant funded by the Korean government (MSIT) (No. 2016-0-00399, Study on secure key hiding technology for IoT devices [KeyHAS Project]), and by the Engineering and Physical Sciences Research Council (EPSRC) (EP/N508664/-CSIT2).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Weiqiang Liu .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer Nature Switzerland AG

About this chapter

Cite this chapter

Liu, W., Gu, C., Qu, G., O’Neill, M. (2018). Approximate Computing and Its Application to Hardware Security. In: Koç, Ç.K. (eds) Cyber-Physical Systems Security. Springer, Cham. https://doi.org/10.1007/978-3-319-98935-8_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-98935-8_3

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-98934-1

  • Online ISBN: 978-3-319-98935-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics