Skip to main content

Nonvolatile Processor Architecture Exploration for Energy-Harvesting Application Scenarios

  • Chapter
  • First Online:
Book cover Embedded, Cyber-Physical, and IoT Systems
  • 1173 Accesses

Abstract

Every shift in the way our devices are connected or powered brings with it a potential for revolution in the usage and capabilities of the systems built around them. Just as the transition from wired to wireless telephones led to unprecedented changes in our communications and the shift from wall-power to battery-power transformed our expectations for computational systems, the shift from battery-powered systems to self-powered systems promises to fuel the next revolution in the Internet of Things (IoT). The ability to utilize ambient, scavenged energy, such as solar energy, radio-frequency (RF) radiation, piezoelectric effect, thermal gradients, etc., can liberate IoT devices from the lifetime, deployment, and service limitations of a fixed battery. However, the power supplied by energy-harvesting sources is highly unreliable and dependent upon ambient environment factors. Hence, it is necessary to develop specialized IoT architectures and systems that are tolerant to this power variation, and also capable of making forward progress on the computation tasks. In this chapter, one of the potential solutions called nonvolatile processor is introduced, in which nonvolatility feature is designed within a processor to overcome the unstable power supply through distributed energy, time efficient backup, and recovery operations. The chapter provides insights on the design space of different architectures, different input power sources, and policies for maximizing forward progress. Through exploration of the various factors involved in designing a battery-less energy-harvesting system, this chapter brings opportunities and accelerates the innovations of self-powered IoTs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Ma, K., Y. Zheng, S. Li, K. Swaminathan, X. Li, Y. Liu, J. Sampson, Y. Xie, and V. Narayanan (2015) “Architecture exploration for ambient energy harvesting nonvolatile processors,” 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA), pp. 526–537.

    Google Scholar 

  2. Ma, K., X. Li, S. Li, Y. Liu, J. J. Sampson, Y. Xie, and V. Narayanan (2015) “Nonvolatile Processor Architecture Exploration for Energy-Harvesting Applications,” IEEE Micro, 35(5), pp. 32–40.

    Article  Google Scholar 

  3. Ma, K., X. Li, K. Swaminathan, Y. Zheng, S. Li, Y. Liu, Y. Xie, J. J. M. Sampson, and V. Narayanan (2016) “Nonvolatile Processor Architectures: Efficient, Reliable Progress with Unstable Power.” IEEE Micro, 36(3), pp. 72–83.

    Article  Google Scholar 

  4. Ma, K., X. Li, J. Li, Y. Liu, Y. Xie, J. Sampson, M. T. Kandemir, and V. Narayanan (2017) “Incidental computing on IoT nonvolatile processors,” in Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, ACM, pp. 204–218.

    Google Scholar 

  5. Ma, K., X. Li, S. R. Srinivasa, Y. Liu, J. Sampson, Y. Xie, and V. Narayanan (2017) “Spendthrift: Machine learning based resource and frequency scaling for ambient energy harvesting nonvolatile processors,” in Design Automation Conference (ASP-DAC), 2017 22nd Asia and South Pacific, IEEE, pp. 678–683.

    Google Scholar 

  6. Li, X., U. D. Heo, K. Ma, H. Liu, V. Narayanan, and S. Datta (2014) “RF-Powered Systems Using Steep-Slope Devices,” IEEE International New Circuits and Systems Conference.

    Google Scholar 

  7. Shoji, K., Y. Akiyama, M. Suzuki, N. Nakamura, H. Ohno, and K. Morishima (2014) “Diffusion refueling biofuel cell mountable on insect,” in Micro Electro Mechanical Systems (MEMS), 2014 IEEE 27th International Conference on, IEEE, pp. 163–166.

    Google Scholar 

  8. Kim, S., R. Vyas, J. Bito, K. Niotaki, A. Collado, A. Georgiadis, and M. Tentzeris (2014) “Ambient RF Energy-Harvesting Technologies for Self-Sustainable Standalone Wireless Sensor Platforms,” Proceedings of the IEEE, 102(11), pp. 1649–1666.

    Article  Google Scholar 

  9. Roundy, S., D. Steingart, L. Frechette, P. Wright, and J. Rabaey (2004) “Power sources for wireless sensor networks,” in Wireless sensor networks, Springer, pp. 1–17.

    Google Scholar 

  10. Grezaud, R. and J. Willemin (2013) “A self-starting fully integrated auto-adaptive converter for battery-less thermal energy harvesting,” in New Circuits and Systems Conference (NEWCAS), 2013 IEEE 11th International, IEEE, pp. 1–4.

    Google Scholar 

  11. Leonov, V., T. Torfs, P. Fiorini, and C. Van Hoof (2007) “Thermoelectric converters of human warmth for self-powered wireless sensor nodes,” Sensors Journal, IEEE, 7(5), pp. 650–657.

    Article  Google Scholar 

  12. Leonov, V., T. Torfs, R. J. Vullers, J. Su, and C. Van Hoof (2010) “Renewable energy microsystems integrated in maintenance-free wearable and textile-based devices: the capabilities and challenges,” in Industrial Technology (ICIT), 2010 IEEE International Conference on, IEEE, pp. 967–972.

    Google Scholar 

  13. Measurement and I. D. C. (MIDC) “http://www.nrel.gov/midc/,”.

  14. Harpe, P., E. Cantatore, and A. van Roermund (2013) “A 10b/12b 40 kS/s SAR ADC With Data-Driven Noise Reduction Achieving up to 10.1b ENOB at 2.2 fJ/Conversion-Step,” IEEE Journal of Solid-State Circuits, 48(12), pp. 3011–3018.

    Article  Google Scholar 

  15. Xiaodan, Z., X. Xiaoyuan, Y. Libin, and L. Yong (2009) “A 1-V 450-nW Fully Integrated Programmable Biomedical Sensor Interface Chip,” IEEE Journal of Solid-State Circuits, 44(4), pp. 1067–1077.

    Article  Google Scholar 

  16. Naderiparizi, S., Z. Kapetanovic, and J. R. Smith (2016) “Battery-free connected machine vision with WISPcam,” GetMobile: Mobile Computing and Communications, 20(1), pp. 10–13.

    Article  Google Scholar 

  17. series datasheet, C.-X. G. “https://www.cap-xx.com/resource/cap-xx-g-series-datasheets/,”.

  18. Sheng, X., C. Wang, Y. Liu, H. G. Lee, N. Chang, and H. Yang (2014) “A high-efficiency dual-channel photovoltaic power system for nonvolatile sensor nodes,” in Non-Volatile Memory Systems and Applications Symposium (NVMSA), 2014 IEEE, IEEE, pp. 1–2.

    Google Scholar 

  19. Ma, K., X. Li, H. Liu, X. Sheng, Y. Wang, K. Swaminathan, Y. Liu, Y. Xie, J. Sampson, and V. Narayanan (2017) “Dynamic Power and Energy Management for Energy Harvesting Nonvolatile Processor Systems,” ACM Trans. Embed. Comput. Syst., 16(4), pp. 107:1–107:23.

    Google Scholar 

  20. Natsui, M., D. Suzuki, N. Sakimura, R. Nebashi, Y. Tsuji, A. Morioka, T. Sugibayashi, S. Miura, H. Honjo, K. Kinoshita, S. Ikeda, T. Endoh, H. Ohno, and T. Hanyu (2013) “Nonvolatile logic-in-memory array processor in 90nm MTJ/MOS achieving 75 reduction using cycle-based power gating,” in 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 194–195.

    Google Scholar 

  21. Guo, X., E. Ipek, and T. Soyata (2010) “Resistive Computation: Avoiding the Power Wall with Low-Leakage, STT-MRAM Based Computing,” 2010 Proceedings of the 37th annual international symposium on computer architecture.

    Google Scholar 

  22. Choudhary, N. K., S. V. Wadhavkar, T. A. Shah, H. Mayukh, J. Gandhi, B. H. Dwiel, S. Navada, H. H. Najaf-abadi, and E. Rotenberg (2011) “Fabscalar: Composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template,” in 2011 38th Annual International Symposium on Computer Architecture (ISCA), IEEE, pp. 11–22.

    Google Scholar 

  23. Fool, T. “http://www.tvfool.com/index.php,”.

  24. Esmaeilzadeh, H., E. Blem, R. St. Amant, K. Sankaralingam, and D. Burger (2012) “Dark Silicon and the End of Multicore Scaling,” Micro, IEEE, 32(3), pp. 122–134.

    Article  Google Scholar 

  25. Ma, K., X. Cui, K. Liao, N. Liao, D. Wu, and D. Yu (2014) “Key characterization factors of accurate power modeling for FinFET circuits,” Science China Information Sciences, pp. 1–13.

    Google Scholar 

  26. Xiaoxin, C., M. KaiSheng, L. Kai, L. Nan, W. Di, W. Wei, L. Rui, and Y. Dunshan (2013) “A Dynamic-Adjusting Threshold-Voltage Scheme for FinFETs low power designs,” in Circuits and Systems (ISCAS), 2013 IEEE International Symposium on, pp. 129–132.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Kaisheng Ma or Yuan Xie .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Ma, K., Li, S., Narayanan, V., Xie, Y. (2020). Nonvolatile Processor Architecture Exploration for Energy-Harvesting Application Scenarios. In: Bhattacharyya, S., Potkonjak, M., Velipasalar, S. (eds) Embedded, Cyber-Physical, and IoT Systems. Springer, Cham. https://doi.org/10.1007/978-3-030-16949-7_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-16949-7_8

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-16948-0

  • Online ISBN: 978-3-030-16949-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics