Skip to main content

SynTunSys: A Synthesis Parameter Autotuning System for Optimizing High-Performance Processors

  • Chapter
  • First Online:
Book cover Machine Learning in VLSI Computer-Aided Design

Abstract

Advanced logic and physical synthesis tools provide numerous options and parameters that can drastically impact design quality; however, the large number of options leads to a complex design space difficult for human designers to navigate. By employing intelligent search strategies and parallel computing we can tackle this parameter tuning problem, thus automating one of the key design tasks conventionally performed by a human designer. To fully utilize the optimization potential of these tools, we propose SynTunSys, a system that adds a new level of abstraction between designers and design tools for managing the design space exploration process. SynTunSys takes control of the synthesis parameter tuning process, i.e., job submission, results analysis, and next-step decision making, automating one of the more difficult decision processes faced by designers. This system has been employed for optimizing multiple IBM high-performance server chips and presents numerous opportunities for future intelligent automation research.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    A macro, also referred to as a partition, is a separately synthesized component that is integrated into a larger chip. Macros considered in this chapter may span in size from 1K to 1M gates.

  2. 2.

    The typical timing metrics of interest are: (1) internal slack or latch-to-latch slack (L2L), (2) worst negative slack (WNS), and (3) total negative slack (TNS).

  3. 3.

    The server chips described in this chapter often go through two chip releases, a.k.a. tapeouts. The first tapeout is less optimized early version of the chip, whereas the second tapeout targets an optimized production quality chip.

  4. 4.

    While many more configurations are possible, this experiment illustrates our initial attempt to configure the Learning algorithm parameters. Over time we have performed additional configuration experiments and designers running SynTunSys can also reconfigure the Learning algorithm as needed via the Rules file.

References

  1. J.D. Warnock et al., 22nm next-generation IBM system z microprocessor, in ISSCC, 2015

    Google Scholar 

  2. M.M. Ziegler et al., A synthesis-parameter tuning system for autonomous design-space exploration, in DATE, 2016

    Google Scholar 

  3. M.M. Ziegler, H.-Y. Liu, L.P. Carloni, Scalable auto-tuning of synthesis parameters for optimizing high-performance processors, in ISLPED, 2016

    Google Scholar 

  4. M.M. Ziegler et al., POWER8 design methodology innovations for improving productivity and reducing power, in CICC, 2014

    Google Scholar 

  5. L. Trevillyan et al., An integrated environment for technology closure of deep-submicron IC designs. IEEE Des. Test Comput. 21(1), 14–22 (2004)

    Article  Google Scholar 

  6. M.M. Ziegler, G.D. Gristede, V.V. Zyuban, Power reduction by aggressive synthesis design space exploration, in ISLPED, 2013

    Google Scholar 

  7. E.J. Fluhr et al., POWER8: a 12-core server-class processor in 22nm SOI with 7.6Tb/s off-chip bandwidth, in ISSCC, 2014

    Google Scholar 

  8. C. Gonzalez et al., POWER9: a processor family optimized for cognitive computing with 25Gb/s accelerator links and 16Gb/s PCIe Gen4, in ISSCC, 2017

    Google Scholar 

  9. C. Berry et al., IBM z14: 14nm microprocessor for the next-generation mainframe, in ISSCC, 2018

    Google Scholar 

  10. Y. Koren, R. Bell, C. Volinsky, Matrix factorization techniques for recommender systems. Computer 42, 30–37 (2009)

    Article  Google Scholar 

  11. M. Anwar, S. Saha, M.M. Ziegler, L. Reddy, Early scenario pruning for efficient design space exploration in physical synthesis, in International Conference on VLSI Design (VLSID), 2016

    Google Scholar 

  12. S. Zhou et al., Utopia: A Load Sharing Facility for Large, Heterogeneous Distributed Computer Systems (Wiley, New York, 1993)

    Google Scholar 

  13. G.P. Mariani et al., DeSpErate++: an enhanced design space exploration framework using predictive simulation scheduling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(2), 293–306 (2015)

    Article  Google Scholar 

  14. S. Amaran et al., Simulation optimization: a review of algorithms and applications. 4OR: Q. J. Oper. Res. 12, 301–333 (2014)

    Google Scholar 

  15. G. Fursin et al., Milepost GCC: machine learning enabled self-tuning compiler. Int. J. Parallel Prog. 39, 296–327 (2011)

    Article  Google Scholar 

  16. A. Arcuri, G. Fraser, Parameter tuning or default values? An empirical investigation in search-based software engineering. Empir. Softw. Eng. 18(3), 594–623 (2013)

    Article  Google Scholar 

  17. H.H. Hoos, Programming by optimization. Commun. ACM 55(2), 70–80 (2012)

    Article  Google Scholar 

  18. G.I. Diaz, A. Fokoue-Nkoutche, G. Nannicini, H. Samulowitz, An effective algorithm for hyperparameter optimization of neural networks. IBM J. Res. Dev. 61(4), 9:1–9:11 (2017)

    Google Scholar 

  19. O. Azizi et al., An integrated framework for joint design space exploration of microarchitecture and circuits, in DATE, 2010

    Google Scholar 

  20. H.-Y. Liu, L.P. Carloni, On learning-based methods for design-space exploration with high-level synthesis, in DAC, 2013

    Google Scholar 

  21. M.K. Papamichael, P. Milder, J.C. Hoe, Nautilus: fast automated IP design space search using guided genetic algorithms, in DAC, 2015

    Google Scholar 

  22. N. Kapre et al., Driving timing convergence of FPGA designs through machine learning and cloud computing, in FCCM, 2015

    Google Scholar 

  23. C. Xu et al., A parallel bandit-based approach for autotuning FPGA compilation, in FPGA, 2017

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Matthew M. Ziegler .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Ziegler, M.M. et al. (2019). SynTunSys: A Synthesis Parameter Autotuning System for Optimizing High-Performance Processors. In: Elfadel, I., Boning, D., Li, X. (eds) Machine Learning in VLSI Computer-Aided Design. Springer, Cham. https://doi.org/10.1007/978-3-030-04666-8_18

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-04666-8_18

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-04665-1

  • Online ISBN: 978-3-030-04666-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics