Skip to main content
Log in

Strain scaling for CMOS

  • Elastic Strain Engineering
  • Published:
MRS Bulletin Aims and scope Submit manuscript

Abstract

This article describes various techniques for applying strain to current and future complementary metal–oxide–semiconductor (CMOS) channels to boost CMOS performance. A brief history of both biaxial and uniaxial strain engineering in planar CMOS technology is discussed. Scalability challenges associated with process-induced uniaxial strain in sub-22 nm CMOS is highlighted in view of shrinking device dimensions and 3D device architecture (such as fin field-effect transistors [FinFETs]). Non-uniform strain relaxation in patterned geometries in tight pitch two- and three-dimensional devices is addressed. A case is made that the future scalable strain platform will require a combination of biaxial strain at wafer level in conjunction with local uniaxial strain. Finally, potential application of strain engineering to advanced III–V metal oxide semiconductor FET channels will be examined.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8
Figure 9

Similar content being viewed by others

References

  1. H.M. Manasevit, I.S. Gergis, A.B. Jones, Appl. Phys. Lett. 41, 464 (1982).

    Google Scholar 

  2. S. Ito, H. Namba, K. Yamaguchi, T. Hirata, K. Ando, S. Koyama, S. Kuroki, N. Ikezawa, T. Suzuki, T. Saitoh, T. Horiuchi, IEDM Tech. Dig. 247 (2000).

  3. J. Welser, J.L. Hoyt, J.F. Gibbons, IEDM Tech. Dig. 1000 (1992).

  4. S. Gannavaram, N. Pesovic, C. Ozturk, IEDM Tech. Dig. 437 (2000).

  5. K.-Y. Lim, H. Lee, C. Ryu, K.-I. Seo, U. Kwon, S. Kim, J. Choi, K. Oh, H.K. Jeon, C. Song, T.-O. Kwon, J. Cho, S. Lee, Y. Sohn, H.S. Yoon, J. Park, K. Lee, W. Kim, E. Lee, S.-P. Sim, C.G. Koh, S.B. Kang, S. Choi, C. Chung, IEDM Tech. Dig. 229 (2010).

  6. E.A. Fitzgerald, Y.-H. Xie, M.L. Green, D. Brasen, A.R. Kortan, J. Michel, Y.-J. Mii, B.E. Weir, Appl. Phys. Lett. 59, 811 (1991).

    Google Scholar 

  7. K. Rim, J. Welser, J.L. Hoyt, J.F. Gibbons, IEDM Tech. Dig. 517 (1995).

  8. B.H. Lee, A. Mocuta, S. Bedell, H. Chen, D. Sadana, K. Rim, P. O’Neil, R. Mo, K. Chan, C. Cabral, C. Lavoie, D. Mocuta, A. Chakravarti, R.M. Mitchell, J. Mezzapelle, F. Jamin, M. Sendelbach, H. Kermel, M. Gribelyuk, A. Domenicucci, K.A. Jenkins, S. Narasimha, S.H. Ku, M. Ieong, I.Y. Yang, E. Leobandung, P. Agnello, W. Haensch, J. Welser, IEDM Tech. Dig. 946 (2002).

  9. S.W. Bedell, K. Fogel, D.K. Sadana, H. Chen, Appl. Phys. Lett. 85, 5869 (2004).

    Google Scholar 

  10. T. Ghani, M. Armstrong, C. Auth, C.M. Bost, P. Charvat, G. Glass, T. Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, M. Bohr, IEDM Tech. Dig. 978 (2003).

  11. Y. Sun, S.E. Thompson, T. Nishida, J. Appl. Phys. 101, 104503 (2007).

    Google Scholar 

  12. J. Wang, Y. Tateshita, S. Yamakawa, K. Nagano, T. Hirano, Y. Kikuchi, Y. Miyanami, S. Yamaguchi, K. Tai, R. Yamamoto, S. Kanda, T. Kimura, K. Kugimiya, M. Tsukamoto, H. Wakabayashi, Y. Tagawa, H. Iwamoto, T. Ohno, M. Saito, S. Kadomura, N. Nagashima, Symp. VLSI tech. 46 (2007).

  13. P. Packan, S. Akbar, M. Armstrong, D. Bergstrom, M. Brazier, H. Deshpande, K. Dev, G. Ding, T. Ghani, O. Golonzka, W. Han, J. He, R. Heussner, R. James, J. Jopling, C. Kenyon, S.-H. Lee, M. Liu, S. Lodha, B. Mattis, A. Murthy, L. Neiberg, J. Neirynck, S. Pae, C. Parker, L. Pipes, J. Sebastian, J. Seiple, B. Sell, A. Sharma, S. Sivakumar, B. Song, A. St. Amour, K. Tone, T. Troeger, C. Weber, K. Zhang, Y. Luo, S. Natarajan, IEDM Tech. Dig. 659 (2009).

  14. S. Narasimha, P. Chang, C. Ortolland, D. Fried, E. Engbrecht, K. Nummy, P. Parries, T. Ando, M. Aquilino, N. Arnold, R. Bolam, J. Cai, M. Chudzik, B. Cipriany, G. Costrini, M. Dai, J. Dechene, C. DeWan, B. Engel, M. Gribelyuk, D. Guo, G. Han, N. Habib, J. Holt, D. Ioannou, B. Jagannathan, D. Jaeger, J. Johnson, W. Kong, J. Koshy, R. Krishnan, A. Kumar, M. Kumar, J. Lee, X. Li, C. Lin, B. Linder, S. Lucarini, N. Lustig, P. McLaughlin, K. Onishi, V. Ontalus, R. Robison, C. Sheraw, M. Stoker, A. Thomas, G. Wang, R. Wise, L. Zhuang, G. Freeman, J. Gill, E. Maciejewski, R. Malik, J. Norum, P. Agnello, IEDM Tech. Dig. 52 (2012).

  15. C. Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier, M. Bost, M. Buehler, V. Chikarmane, T. Ghani, T. Glassman, R. Grover, W. Han, D. Hanken, M. Hattendorf, P. Hentges, R. Heussner, J. Hicks, D. Ingerly, P. Jain, S. Jaloviar, R. James, D. Jones, J. Jopling, S. Joshi, C. Kenyon, H. Liu, R. McFadden, B. McIntyre, J. Neirynck, C. Parker, L. Pipes, I. Post, S. Pradhan, M. Prince, S. Ramey, T. Reynolds, J. Roesler, J. Sandford, J. Seiple, P. Smith, C. Thomas, D. Towner, T. Troeger, C. Weber, P. Yashar, K. Zawadzki, K. Mistry, Symp. VLSI Tech. 131–132 (2012).

  16. H. Yin, R. Huang, K.D. Hobart, Z. Suo, T.S. Kuan, C.K. Inoki, S.R. Shieh, T.S. Duffy, F.J. Kub, J.C. Sturm, J. Appl. Phys. 91, 9716 (2002).

    Google Scholar 

  17. H. Yin, K.D. Hobart, F.J. Kub, S.R. Shieh, T.S. Duffy, J.C. Sturm, Appl. Phys. Lett. 84, 3624 (2004).

    Google Scholar 

  18. A. Domenicucci, S. Bedell, R. Roy, D.K. Sadana, A. Mocuta, in Proceedings of the 14th Conference of Microscopy of Semiconducting Materials, A.G. Cullis, J.L. Hutchinson, Eds. (Oxford, UK, 2005), vol. 107, p. 89.

  19. T. Irisawa, T. Numata, T. Tezuka, K. Usuda, N. Hiroshita, N. Sugiyama, VLSI Symp. Tech. Dig. 178 (2005).

  20. S.W. Bedell, N. Daval, A. Khakifirooz, P. Kulkarni, K. Fogel, A. Domenicucci, D.K. Sadana, Microelectron. Eng. 88, 324 (2011).

    Google Scholar 

  21. W. Xiong, C.R. Cleavelin, P. Kohli, C. Huffman, T. Schulz, K. Schruefer, G. Gebara, K. Mathews, P. Patruno, Y.-M. Le Vaillant, I. Cayrefourcq, M. Kennard, C. Mazure, K. Shin, T.–J.K. Liu, IEEE Electron Device Lett. 27 (7), 612 (2006).

    Google Scholar 

  22. A. Khakifirooz, K. Cheng, T. Nagumo, N. Loubet, T. Adam, A. Reznicek, J. Kuss, D. Shahrjerdi, R. Sreenivasan, S. Ponoth, H. He, P. Kulkarni, Q. Liu, P. Hashemi, P. Khare, S. Luning, S. Mehta, J. Gimbert, Y. Zhu, Z. Zhu, J. Li, A. Madan, T. Levin, F. Monsieur, T. Yamamoto, S. Naczas, S. Schmitz, S. Holmes, C. Aulnette, N. Daval, W. Schwarzenbach, B.-Y. Nguyen, V. Paruchuri, M. Khare, G. Shahidi, B. Doris, Symp. VLSI Tech. 117 (2012).

  23. A. Khakifirooz, R. Sreenivasan, B.N. Taber, F. Allibert, P. Hashemi, W. Chern, N. Xu, E.C. Wall, S. Mochizuki, J. Li, Y. Yin, N. Loubet, A. Reznicek, S.M. Mignot, D. Lu, H. He, T. Yamashita, P. Morin, G. Tsutsui, C.-Y. Chen, V.S. Basker, T.E. Standaert, K. Cheng, T. Levin, B.Y. Nguyen, T.-J. King Liu, D. Guo, H. Bu, K. Rim, B. Doris, paper presented at IEEE S3S Conference, Monterey, CA, 7–10 October, 2013.

  24. K. Cheng, A. Khakifirooz, N. Loubet, S. Luning, T. Nagumo, M. Vinet, Q. Liu, A. Reznicek, T. Adam, S. Naczas, P. Hashemi, J. Kuss, J. Li, H. He, L. Edge, J. Gimbert, P. Khare, Y. Zhu, Z. Zhu, A. Madan, N. Klymko, S. Holmes, T.M. Levin, A. Hubbard, R. Johnson, M. Terrizzi, S. Teehan, A. Upham, G. Pfeiffer, T. Wu, A. Inada, F. Allibert, B. Nguyen, L. Grenouillet, Y. Le Tiec, R. Wacquez, W. Kleemeier, R. Sampson, R.H. Dennard, T.H. Ning, M. Khare, G. Shahidi, B. Doris, IEDM Tech. Dig. 420 (2012).

  25. L. Chang, D.J. Frank, R.K. Montoye, S.J. Koester, B.L. Ji, P.W. Coteus, R.H. Dennard, W. Haensch, Proc. IEEE 98 (2), 215 (2010).

    Google Scholar 

  26. S. Suthram, M.M Hussain, H.R Harris, C. Smith, H.-H. Tseng, R. Jammy, S.E. Thompson, IEEE Electron Device Lett. 29 (5), 480 (2008).

    Google Scholar 

  27. N. Xu, B. Ho, M. Choi, V. Moroz, T.-J. King Liu, IEEE Trans. Electron Devices 59 (6), 1592 (2012).

    Google Scholar 

  28. M. Saitoh, A. Kaneko, K. Okano, T. Kinoshita, S. Inaba, Y. Toyoshima, K. Uchida, Symp. VLSI Tech. 18 (2008).

  29. S. Mujumdar, K. Maitra, S. Datta, IEEE Trans. Electron Devices 59 (1), 72 (2012).

    Google Scholar 

  30. A. Nainani, S. Gupta, V. Moroz, C. Munkang, K. Yihwan, Y. Cho, J. Gelatos, T. Mandekar, A. Brand, P. Er-Xuan, M.C. Abraham, K. Schuegraf, IEDM Tech. Dig. 427 (2012).

  31. M. Choi, V. Moroz, L. Smith, O. Penzin, in 6th International Silicon-Germanium Technology and Device Meeting (2012 ISTDM).

  32. C.Y. Kang, R. Choi, S.C. Song, K. Choi, B.S. Ju, M.M. Hussain, B.H. Lee, G. Bersuker, C. Young, D. Heh, P. Kirsch, J. Barnet, J.-W. Yang, W. Xiong, H. Tseng, R. Jammy, IEDM Tech. Dig. 885 (2006).

  33. I. Ok, K. Akarvardar, S. Lin, M. Baykan, C.D. Young, P.Y. Hung, M.P. Rodgers, S. Bennett, H.O. Stamper, D.L. Franca, J. Yum, J.P. Nadeau, C. Hobbs, P. Kirsch, P. Majhi, R. Jammy, IEDM Tech. Dig. 776 (2010).

  34. R. Droopad, K. Rajagopalan, J. Abrokwah, M. Canonico, M. Passlack, Solid State Electron. 50, 1175 (2006).

    Google Scholar 

  35. D. Kim, PhD thesis, Stanford University (2009).

  36. A. Nainani, R. Shyam, D. Witte, M. Kobayashi, T. Irisawa, T. Krishnamohan, K. Saraswat, B.R. Bennett, M.G. Ancona, J.B. Boos, IEDM Tech. Dig. 857 (2009).

  37. T. Kaneko, H. Asahi, Y. Okuno, S.-I. Gonda, J. Cryst. Growth 95, 158 (1989).

    Google Scholar 

  38. S.H. Kim, M. Yokoyama, R. Nakane, O. Ichikawa, T. Osada, M. Hata, M. Takenaka, S. Takagi, Symp. VLSI Tech. T51 (2013).

Download references

Acknowledgments

The author would like to acknowledge contributions from IBM’s Yorktown, Fishkill, and Albany process and integration teams. We are indebted to Ghavam Shahidi for his support, and Shreesh Narasimha for insightful discussions on IBM’s strain-Si technology.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to S. W. Bedell.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Bedell, S.W., Khakifirooz, A. & Sadana, D.K. Strain scaling for CMOS. MRS Bulletin 39, 131–137 (2014). https://doi.org/10.1557/mrs.2014.5

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1557/mrs.2014.5

Navigation