Skip to main content
Log in

Porosity scaling strategies for low-k films

  • Invited Feature Paper
  • Published:
Journal of Materials Research Aims and scope Submit manuscript

Abstract

Reducing the delay of backend interconnects is critical in delivering improved performance in next generation computer chips. One option is to implement interlayer dielectric (ILD) materials with increasingly lower dielectric constant (k) values. Despite industry need, there has been a recent decrease in study and production of these materials in academia and business communities. We have generated a backbone and porogen system that allows us to control porosity from 0 to 60% volume, achieve k-values from 3.4 to 1.6, maintain high chemical stability to various wet cleans, and deliver uniquely high mechanical strength at a given porosity. Finite element modeling and experimental results demonstrate that further improvements can be achieved through control of the pore volume into an ordered network. With hopes to spur more materials development, this paper discusses some molecular design and nanoscale hierarchical principles relevant to making next generation low-k ILD materials.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

FIG. 1
FIG. 2
FIG. 3
FIG. 4
FIG. 5
FIG. 6
FIG. 7
FIG. 8
FIG. 9
FIG. 10
FIG. 11
FIG. 12
FIG. 13
FIG. 14
FIG. 15
FIG. 16
FIG. 17
FIG. 18
FIG. 19
FIG. 20
FIG. 21
FIG. 22
FIG. 23
FIG. 24
FIG. 25
FIG. 26
FIG. 27

Similar content being viewed by others

References

  1. ITRS 2013, http://www.itrs.net/ITRS%201999-2014%20Mtgs,%20Presentations%20&%20Links/2013ITRS/Summary2013.htm.

  2. G.E. Moore: No exponential is forever: But “Forever” can be delayed![semiconductor industry]. In IEEE International Solid-State Circuits Conference Digest of Technical Papers, IEEE: 2003; p. 20.

  3. G.E. Moore: Cramming more components onto integrated circuits. Proc. IEEE 86(1), 82 (1998).

    Google Scholar 

  4. W. Volksen, R.D. Miller, and G. Dubois: Low dielectric constant materials. Chem. Rev. 110(1), 56 (2010).

    CAS  Google Scholar 

  5. A. Husain: Models for interconnect capacitance extraction. In International Symposium on Quality Electronic Design, IEEE: 2001; p. 167.

  6. K. Maex, M. Baklanov, D. Shamiryan, S. Brongersma, and Z. Yanovitskaya: Low dielectric constant materials for microelectronics. J. Appl. Phys. 93(11), 8793 (2003).

    CAS  Google Scholar 

  7. K. Lionti, W. Volksen, T. Magbitang, M. Darnon, and G. Dubois: Toward successful integration of porous low-k materials: Strategies addressing plasma damage. ECS J. Solid State Sci. Technol. 4(1), N3071 (2015).

    CAS  Google Scholar 

  8. M. Baklanov, P.S. Ho, and E. Zschech: Advanced Interconnects for ULSI Technology (Chicester: John Wiley & Sons, 2012).

    Google Scholar 

  9. A. Grill, V. Patel, K. Rodbell, E. Huang, M. Baklanov, K. Mogilnikov, M. Toney, and H-C. Kim: Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study. J. Appl. Phys. 94(5), 3427 (2003).

    CAS  Google Scholar 

  10. T.C. Choy: Effective Medium Theory: Principles and Applications (New York: Oxford University Press, 1999).

    Google Scholar 

  11. S. King, M. French, J. Bielefeld, and W. Lanford: Fourier transform infrared spectroscopy investigation of chemical bonding in low-k a-SiC: H thin films. J. Non-Cryst. Solids 357(15), 2970 (2011).

    CAS  Google Scholar 

  12. D. Mu, Z-S. Liu, C. Huang, and N. Djilali: Determination of the effective diffusion coefficient in porous media including Knudsen effects. Microfluid. Nanofluid. 4(3), 257 (2008).

    Google Scholar 

  13. H-P. Müller, R. Kimmich, and J. Weis: NMR flow velocity mapping in random percolation model objects: Evidence for a power-law dependence of the volume-averaged velocity on the probe-volume radius. Phys. Rev. E 54(5), 5278 (1996).

    Google Scholar 

  14. M. Sahimi: Applications of Percolation Theory (Boca Raton: CRC Press, Taylor & Francis Group, 1994).

    Google Scholar 

  15. M.P. Petkov, M.H. Weber, K.G. Lynn, and K.P. Rodbell: Probing capped and uncapped mesoporous low-dielectric constant films using positron annihilation lifetime spectroscopy. Appl. Phys. Lett. 77(16), 2470 (2000).

    CAS  Google Scholar 

  16. W. Zhou, S. Bailey, R. Sooryakumar, S. King, G. Xu, E. Mays, C. Ege, and J. Bielefeld: Elastic properties of porous low-k dielectric nano-films. J. Appl. Phys. 110(4), 043520 (2011).

    Google Scholar 

  17. H. Kim: The application of atomic layer deposition for metallization of 65 nm and beyond. Surf. Coat. Technol. 200(10), 3104 (2006).

    CAS  Google Scholar 

  18. M.R. Baklanov, J-F. de Marneffe, D. Shamiryan, A.M. Urbanowicz, H. Shi, T.V. Rakhimova, H. Huang, and P.S. Ho: Plasma processing of low-k dielectrics. J. Appl. Phys. 113(4), 041101 (2013).

    Google Scholar 

  19. M. Goldman, D. Graves, G. Antonelli, S. Behera, and J. Kelber: Oxygen radical and plasma damage of low-k organosilicate glass materials: Diffusion-controlled mechanism for carbon depletion. J. Appl. Phys. 106(1), 13311 (2009).

    Google Scholar 

  20. O. Richard, F. Iacopi, H. Bender, and G. Beyer: Sidewall damage in silica-based low-k material induced by different patterning plasma processes studied by energy filtered and analytical scanning TEM. Microelectron. Eng. 84(3), 517 (2007).

    CAS  Google Scholar 

  21. E. Kunnen, G. Barkema, C. Maes, D. Shamiryan, A. Urbanowicz, H. Struyf, and M. Baklanov: Integrated diffusion–recombination model for describing the logarithmic time dependence of plasma damage in porous low-k materials. Microelectron. Eng. 88(5), 631 (2011).

    CAS  Google Scholar 

  22. M.A. Worsley, S.F. Bent, S.M. Gates, N.C.M. Fuller, W. Volksen, M. Steen, and T. Dalton: Effect of plasma interactions with low-κ films as a function of porosity, plasma chemistry, and temperature. J. Vac. Sci. Technol., B 23(2), 395 (2005).

    CAS  Google Scholar 

  23. O. Louveau, C. Bourlot, A. Marfoure, I. Kalinovski, J. Su, G. Hills, and D. Louis: Dry ashing process evaluation for porous ULK films. Microelectron. Eng. 73–74, 351 (2004).

    Google Scholar 

  24. K.J. Singh: Unique size-dependent challenges for BEOL cleans in the patterning of sub-20 nm features. In Solid State Phenomena, Vol. 195, P. Mertens, M. Meuris, and M. Heyns, eds.; Zurich: Trans Tech Publications, 2013; p. 103.

    Google Scholar 

  25. J. Chawla, K. Singh, A. Myers, D. Michalak, R. Schenker, C. Jezewski, B. Krist, F. Gstrein, T. Indukuri, and H. Yoo: Patterning challenges in the fabrication of 12 nm half-pitch dual damascene copper ultra low-k interconnects. In SPIE Advanced Lithography, International Society for Optics and Photonics: 2014; p. 905404.

  26. M. Darnon, T. Chevolleau, O. Joubert, S. Maitrejean, J.C. Barbe, and J. Torres: Undulation of sub-100nm porous dielectric structures: A mechanical analysis. Appl. Phys. Lett. 91(19), 194103 (2007).

    Google Scholar 

  27. G. Stan, C.V. Ciobanu, I. Levin, H.J. Yoo, A. Myers, K.J. Singh, C. Jezewski, B. Miner, and S. King: Nanoscale buckling of ultrathin low-k dielectric lines during hard-mask patterning. Nano Lett. 15(6), 3845–3850 (2015).

    CAS  Google Scholar 

  28. J.S. Chawla, R. Chebiam, R. Akolkar, G. Allen, C.T. Carver, J.S. Clarke, F. Gstrein, M. Harmes, T. Indukuri, C. Jezewski, B. Krist, H. Lang, A. Myers, R. Schenker, K.J. Singh, R. Turkot, and H.J. Yoo: Demonstration of a 12 nm-half-pitch copper ultralow-k interconnect process. In IEEE International Interconnect Technology Conference (IITC), IEEE: 2013; p. 1.

  29. K. Hamioud, V. Arnal, A. Farcy, V. Jousseaume, A. Zenasni, B. Icard, J. Pradelles, S. Manakli, P. Brun, and G. Imbert: 32 nm node BEOL integration with an extreme low-k porous SiOCH dielectric k = 2.3. Microelectron. Eng. 87(3), 316 (2010).

    CAS  Google Scholar 

  30. M. Fayolle, G. Passemard, O. Louveau, F. Fusalba, and J. Cluzel: Challenges of back end of the line for sub 65 nm generation. Microelectron. Eng. 70(2–4), 255 (2003).

    CAS  Google Scholar 

  31. O.R. Rodriguez, W.N. Gill, J.L. Plawsky, T.Y. Tsui, and S. Grunow: Study of Cu diffusion in porous dielectrics using secondary-ion-mass spectrometry. J. Appl. Phys. 98(12), 123514 (2005).

    Google Scholar 

  32. M. Fayolle, V. Jousseaume, M. Assous, E. Tabouret, C. Le Cornec, P.H. Haumesser, P. Leduc, H. Feldis, O. Louveau, G. Passemard, and F. Fusalba: Cu/ULK integration using a post integration porogen removal approach. In Proceedings of the IEEE 2004 International Interconnect Technology Conference, IEEE: 2004; p. 208.

  33. T. Frot, W. Volksen, S. Purushothaman, R. Bruce, and G. Dubois: Application of the protection/deprotection strategy to the science of porous materials. Adv. Mater. 23(25), 2828 (2011).

    CAS  Google Scholar 

  34. T. Frot, W. Volksen, S. Purushothaman, R.L. Bruce, T. Magbitang, D.C. Miller, V.R. Deline, and G. Dubois: Post porosity plasma protection: Scaling of efficiency with porosity. Adv. Funct. Mater. 22(14), 3043 (2012).

    CAS  Google Scholar 

  35. L. Zhang, R. Ljazouli, P. Lefaucheux, T. Tillocher, R. Dussart, Y.A. Mankelevich, J-F. de Marneffe, S. de Gendt, and M.R. Baklanov: Low damage cryogenic etching of porous organosilicate low-k materials using SF6/O2/SiF4. ECS J. Solid State Sci. Technol. 2(6), N131 (2013).

    CAS  Google Scholar 

  36. Y. Kayaba, H. Tanaka, T. Suzuki, K. Kohmura, and S.S. Ono: Selective formation of an ultra-thin pore seal on mesorporous low-k for a copper dual damascene structure. In IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), IEEE: 2014; p. 261.

  37. Y-B. Jiang, N. Liu, H. Gerung, J.L. Cecchi, and C.J. Brinker: Nanometer-thick conformal pore sealing of self-assembled mesoporous silica by plasma-assisted atomic layer deposition. J. Am. Chem. Soc. 128(34), 11018 (2006).

    CAS  Google Scholar 

  38. B.K. Moon, T. Iijima, S. Malhotra, A. Simon, T. Shaw, T. Ryan, C. Labelle, N. Fuller, T. Bolom, D. Dunn, P. Flaitz, S. Mehta, K. Inoue, and V. McGahay: Integration of ALD-TaN Liners on nanoporous dielectrics. MRS Online Proc. Libr. 863, 1–6 (2005).

    Google Scholar 

  39. W. Puyrenier, V. Rouessac, L. Broussous, D. Rébiscoul, and A. Ayral: Effect of plasma treatments on a porous low-k material—Study of pore sealing. Microporous Mesoporous Mater. 106(1–3), 40 (2007).

    CAS  Google Scholar 

  40. L. Fu, M. Su, F. Kuechenmeister, and W. Huang: Chip package interaction (CPI) reliability of Cu/low-k/ultra-low-k interconnect. In 16th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits, IEEE: 2009; p. 691.

  41. K. Mosig, T. Jacobs, K. Brennan, M. Rasco, J. Wolf, and R. Augur: Integration challenges of porous ultra low-k spin-on dielectrics. Microelectron. Eng. 64(1–4), 11 (2002).

    CAS  Google Scholar 

  42. A. Grill: Plasma enhanced chemical vapor deposited SiCOH dielectrics: From low-k to extreme low-k interconnect materials. J. Appl. Phys. 93(3), 1785 (2003).

    CAS  Google Scholar 

  43. V. Jousseaume, G. Rolland, D. Babonneau, and J.P. Simon: Influence of polymer porogens on the porosity and mechanical properties of spin coated ultra low k dielectrics. Thin Solid Films 517(15), 4413 (2009).

    CAS  Google Scholar 

  44. A.M. Padovani, L. Rhodes, S.A.B. Allen, and P.A. Kohl: Chemically bonded porogens in methylsilsesquioxane I. Structure and bonding. J. Electrochem. Soc. 149(12), F161 (2002).

    CAS  Google Scholar 

  45. W. Volksen, T.P. Magbitang, R.D. Miller, S. Purushothaman, S.A. Cohen, H. Nakagawa, Y. Nobe, T. Kokubo, and G.J. Dubois: A manufacturing grade, porous oxycarbosilane spin-on dielectric candidate with K ≤ 2.0. J. Electrochem. Soc. 158(7), G155 (2011).

    CAS  Google Scholar 

  46. L. Favennec, V. Jousseaume, V. Rouessac, F. Fusalba, J. Durand, and G. Passemard: Porous extreme low κ (ELκ) dielectrics using a PECVD porogen approach. Mater. Sci. Semicond. Process. 7(4–6), 277 (2004).

    CAS  Google Scholar 

  47. A.M. Urbanowicz, K. Vanstreels, P. Verdonck, D. Shamiryan, S. De Gendt, and M.R. Baklanov: Improving mechanical robustness of ultralow-k SiOCH plasma enhanced chemical vapor deposition glasses by controlled porogen decomposition prior to UV-hardening. J. Appl. Phys. 107(10), 104122 (2010).

    Google Scholar 

  48. M. Seino, W. Wang, J.E. Lofgreen, D.P. Puzzo, T. Manabe, and G.A. Ozin: Low-k periodic mesoporous organosilica with air walls: POSS-PMO. J. Am. Chem. Soc. 133(45), 18082 (2011).

    CAS  Google Scholar 

  49. B. Hatton, K. Landskron, W. Whitnall, D. Perovic, and G.A. Ozin: Past, present, and future of periodic mesoporous organosilicas—The PMOs. Acc. Chem. Res. 38(4), 305 (2005).

    CAS  Google Scholar 

  50. P. Marsik, P. Verdonck, D. De Roest, and M.R. Baklanov: Porogen residues detection in optical properties of low-k dielectrics cured by ultraviolet radiation. Thin Solid Films 518(15), 4266 (2010).

    CAS  Google Scholar 

  51. M.R. Baklanov, L. Zhao, E.V. Besien, and M. Pantouvaki: Effect of porogen residue on electrical characteristics of ultra low-k materials. Microelectron. Eng. 88(6), 990 (2011).

    CAS  Google Scholar 

  52. A.M. Urbanowicz, K. Vanstreels, D. Shamiryan, S. De Gendt, and M.R. Baklanov: Effect of porogen residue on chemical, optical, and mechanical properties of CVD SiCOH low-k materials. Electrochem. Solid-State Lett. 12(8), H292 (2009).

    CAS  Google Scholar 

  53. P. Verdonck, D. De Roest, S. Kaneko, R. Caluwaerts, N. Tsuji, K. Matsushita, N. Kemeling, Y. Travaly, H. Sprey, M. Schaekers, and G. Beyer: Characterization and optimization of porogen-based PECVD deposited extreme low-k materials as a function of UV-cure time. Surf. Coat. Technol. 201(22–23), 9264 (2007).

    CAS  Google Scholar 

  54. A. Zenasni, V. Jousseaume, P. Holliger, L. Favennec, O. Gourhant, P. Maury, and G. Gerbaud: The role of ultraviolet radiation during ultralow k films curing: Strengthening mechanisms and sacrificial porogen removal. J. Appl. Phys. 102(9), 094107 (2007).

    Google Scholar 

  55. H. Sinha, G.A. Antonelli, G. Jiang, Y. Nishi, and J.L. Shohet: Effects of vacuum ultraviolet radiation on deposited and ultraviolet-cured low-k porous organosilicate glass. J. Vac. Sci. Technol., A. 29(3), 030602 (2011).

    Google Scholar 

  56. E. Mickler, L. Ching-Te, A.T. Krishnan, J. Changming, and J. Manoj: A charge damage study using an electron beam low k treatment. In Proceedings of the IEEE 2004 International Interconnect Technology Conference, IEEE: 2004; p. 190.

  57. G. Kloster, T. Scherban, G. Xu, J. Blaine, B. Sun, and Y. Zhou: Porosity effects on low-k dielectric film strength and interfacial adhesion. In Proceedings of the IEEE 2002 International Interconnect Technology Conference, IEEE: 2002; p. 242.

  58. T. Yoda, K. Fujita, H. Miyajima, R. Nakata, N. Miyashita, and N. Hayasaka: Properties of high-performance porous SiOC low-k film fabricated using electron-beam curing. Jpn. J. Appl. Phys. 44(6R), 3872 (2005).

    CAS  Google Scholar 

  59. T. Owada, N. Ohara, H. Watatani, T. Kouno, H. Kudo, H. Ochimizu, T. Sakoda, N. Asami, Y. Ohkura, S. Fukuyama, A. Tsukune, M. Nakaishi, T. Nakamura, Y. Nara, and M. Kase: Advanced BEOL integration using porous low-k (k=2.25) material with charge damage-less electron beam cure technique. In IEEE International Interconnect Technology Conference, IEEE: 2009; p. 149.

  60. G. Dubois, W. Volksen, T. Magbitang, M. Sherwood, R. Miller, D. Gage, and R. Dauskardt: Superior mechanical properties of dense and porous organic/inorganic hybrid thin films. J. Sol-Gel Sci. Technol. 48(1–2), 187 (2008).

    CAS  Google Scholar 

  61. S-K. Min, B. Moon, H. Kim, and H-W. Rhee: Cyclodextrin-based reactive porogen for nanoporous ultra-low dielectrics. Curr. Appl. Phys. 11(1), S313 (2011).

    Google Scholar 

  62. J.H. Yim, J.B. Seon, H.D. Jeong, L.S. Pu, M.R. Baklanov, and D.W. Gidley: Morphological control of nanoporous films by the use of functionalized cyclodextrins as porogens. Adv. Funct. Mater. 14(3), 277 (2004).

    CAS  Google Scholar 

  63. M. Baklanov and K. Mogilnikov: Non-destructive characterisation of porous low-k dielectric films. Microelectron. Eng. 64(1), 335 (2002).

    CAS  Google Scholar 

  64. B. Kim, J. Son, and M. Ko: The effect of porogen on physical properties in MTMS–BTMSE spin-on organosilicates. J. Mater. Sci. 42(14), 5381 (2007).

    CAS  Google Scholar 

  65. P.T. Hurley, L.-S. Du, P.L. McDaniel, B.K. Peterson, S.J. Weigel, M.K. Haas, R.N. Vrtis, D. Sinatore, M.D. Bitner, and K.E. Theodorou: Formation of porous organosilicate glasses produced by PECVD and UV treatment. In Materials, Processes, Integration and Reliability in Advanced Interconnect for Micro- and Nanoelectronics, ed. Q. Lin, E.T. Ryan, W-L. Wu, D.Y. Yoon (Mater. Res. Soc. Symp. Proc. 990; New York: Cambridge University Press, 2007), p. 3.

    Google Scholar 

  66. F. Iacopi, Y. Travaly, B. Eyckens, C. Waldfried, T. Abell, E.P. Guyer, D.M. Gage, R.H. Dauskardt, T. Sajavaara, K. Houthoofd, P. Grobet, P. Jacobs, and K. Maex: Short-ranged structural rearrangement and enhancement of mechanical properties of organosilicate glasses induced by ultraviolet radiation. J. Appl. Phys. 99(5), 053511 (2006).

    Google Scholar 

  67. X. Guo, J.E. Jakes, M.T. Nichols, S. Banna, Y. Nishi, and J.L. Shohet: The effect of water uptake on the mechanical properties of low-k organosilicate glass. J. Appl. Phys. 114(8), 084103 (2013).

    Google Scholar 

  68. M. Baklanov, K. Mogilnikov, V. Polovinkin, and F. Dultsev: Determination of pore size distribution in thin films by ellipsometric porosimetry. J. Vac. Sci. Technol. B 18(3), 1385 (2000).

    CAS  Google Scholar 

  69. C. Licitra, R. Bouyssou, T. Chevolleau, and F. Bertin: Multi-solvent ellipsometric porosimetry analysis of plasma-treated porous SiOCH films. Thin Solid Films 518(18), 5140 (2010).

    CAS  Google Scholar 

  70. G. Bonilla, T.M. Shaw, E.G. Liniger, S. Cohen, S.M. Gates, A. Grill, H. Shobha, C.J. Penny, and E.T. Ryan: Tailoring dielectric materials for robust BEOL reliability. In IEEE International Reliability Physics Symposium (IRPS), IEEE: 2012; p. 3A.1.1.

  71. M.R. Baklanov, K.P. Mogilnikov, and Q.T. Le: Quantification of processing damage in porous low dielectric constant films. Microelectron. Eng. 83(11), 2287 (2006).

    CAS  Google Scholar 

  72. W. Puyrenier, V. Rouessac, L. Broussous, D. Rébiscoul, and A. Ayral: Characterization of the impact of plasma treatments and wet cleaning on a porous low k material. Microelectron. Eng. 83(11–12), 2314 (2006).

    CAS  Google Scholar 

  73. D. Rébiscoul, B. Puyrenier, L. Broussous, D. Louis, and G. Passemard: Study of the post-etch cleaning compatibility with dense and porous ULK materials—Characterization of the process impact. Microelectron. Eng. 83(11–12), 2319 (2006).

    Google Scholar 

  74. J. Noguchi: Dominant factors in TDDB degradation of Cu interconnects. IEEE Trans. Electron Devices 52(8), 1743 (2005).

    CAS  Google Scholar 

  75. A. Bhanap, T. Ramos, A. Camarena, and A. Naman: Repairing process-induced damage to porous low-k ILDs by post-ash treatment. In Proceedings of Advanced Metallization Conference, G.W. Ray, T.S. Smy, T. Ohta, M. Tsujimura, eds.; MRS: 2003.

  76. L. Broussous, W. Puyrenier, D. Rebiscoul, V. Rouessac, and A. Ayral: Porosity and structure evolution of a SiOCH low k material during post-etch cleaning process. Microelectron. Eng. 84(11), 2600 (2007).

    CAS  Google Scholar 

  77. M. Jochen and E.B. James: An analytic model for atom diffusion and heterogeneous recombination in a porous medium. J. Phys. D: Appl. Phys. 39(17), 3849 (2006).

    Google Scholar 

  78. M. Matyka, A. Khalili, and Z. Koza: Tortuosity-porosity relation in porous media flow. Phys. Rev. E. 78(2), 026306 (2008).

    Google Scholar 

  79. S. Sugahara, K-i. Usami, and M. Matsumura: A proposed organic-silica film for inter-metal-dielectric application. Jpn. J. Appl. Phys. 38(3R), 1428 (1999).

    CAS  Google Scholar 

  80. E.T. Ryan, S.M. Gates, A. Grill, S. Molis, P. Flaitz, J. Arnold, M. Sankarapandian, S.A. Cohen, Y. Ostrovski, and C. Dimitrakopoulos: Property modifications of nanoporous pSiCOH dielectrics to enhance resistance to plasma-induced damage. J. Appl. Phys. 104(9), 094109 (2008).

    Google Scholar 

  81. N. Inoue, F. Ito, H. Shobha, S. Gates, E.T. Ryan, K. Virwani, N. Klvmko, A. Madan, L. Tai, E. Adams, S. Cohen, E. Liniger, C.K. Hu, Y. Mignot, A. Grill, and T. Spooner: UV cure impact on robust low-k with sub-nm pores and high carbon content for high performance Cu/low-k BEOL modules. In IEEE International Interconnect Technology Conference (IITC), IEEE: 2013; p. 1.

  82. S.M. Gates, G. Dubois, E.T. Ryan, A. Grill, M. Liu, and D. Gidley: Adjusting the Skeleton and pore structure of porous SiCOH dielectrics. J. Electrochem. Soc. 156(10), G156 (2009).

    CAS  Google Scholar 

  83. K.-I. Usami, S. Sugahara, M. Kobayashi, K. Sumimura, T. Hattori, and M. Matsumura: Preparation and properties of silica films with higher-alkyl groups. J. Non-Cryst. Solids. 260(3), 199–207 (1999).

    CAS  Google Scholar 

  84. F. Goethals, I. Ciofi, O. Madia, K. Vanstreels, M.R. Baklanov, C. Detavernier, P. Van Der Voort, and I. Van Driessche: Ultra-low-k cyclic carbon-bridged PMO films with a high chemical resistance. J. Mater. Chem. 22(17), 8281 (2012).

    CAS  Google Scholar 

  85. C.J. Brinker and G.W. Scherer: Sol-Gel Science: The Physics and Chemistry of Sol-gel Processing (San Diego: Academic Press, 2013).

    Google Scholar 

  86. V. Jousseaume, L. Favennec, A. Zenasni, and O. Gourhant: Porous ultra low k deposited by PECVD: From deposition to material properties. Surf. Coat. Technol. 201(22–23), 9248 (2007).

    CAS  Google Scholar 

  87. J-H. Yim, M.R. Baklanov, D.W. Gidley, H. Peng, H-D. Jeong, and L. Sun Pu: Pore structure of modified cyclic silsesquioxane thin films made porous using a cyclodextrins-based porogen. J. Phys. Chem. B 108(26), 8953 (2004).

    CAS  Google Scholar 

  88. R. Zallen: The Physics of Amorphous Solids, in the Physics of Amorphous Solids (New York: John Wiley & Sons, Inc., 1983).

    Google Scholar 

  89. F. Ciaramella, V. Jousseaume, S. Maitrejean, M. Verdier, B. Remiat, A. Zenasni, and G. Passemard: Crosslinking impact of mesoporous MSQ films used in microelectronic interconnections on mechanical properties. Thin Solid Films 495(1–2), 124 (2006).

    CAS  Google Scholar 

  90. O. Gourhant, G. Gerbaud, A. Zenasni, L. Favennec, P. Gonon, and V. Jousseaume: Crosslinking of porous SiOCH films involving Si–O–C bonds: Impact of deposition and curing. J. Appl. Phys. 108(12), 124105 (2010).

    Google Scholar 

  91. N.J. Trujillo, Q. Wu, and K.K. Gleason: Ultralow dielectric constant Tetravinyltetramethylcyclotetrasiloxane films deposited by Initiated chemical vapor deposition (iCVD). Adv. Funct. Mater. 20(4), 607 (2010).

    CAS  Google Scholar 

  92. A. Soleimani Dorcheh and M.H. Abbasi: Silica aerogel; synthesis, properties and characterization. J. Mater. Process. Technol. 199(1–3), 10 (2008).

    CAS  Google Scholar 

  93. M.R. Baklanov, E. Kondoh, E.K. Lin, D.W. Gidley, H.J. Lee, K.P. Mogilnikov, and J.N. Sun: Comparative study of porous SOG films with different non-destructive instrumentation. In Proceedings of the IEEE 2001 International Interconnect Technology Conference, IEEE: 2001; p. 189.

  94. N. Tajima, T. Ohno, T. Hamada, K. Yoneda, S. Kondo, N. Kobayashi, M. Shinriki, Y. Inaishi, K. Miyazawa, K. Sakota, S. Hasaka, and M. Inoue: Carbon-doped silicon oxide films with hydrocarbon network bonds for low-k dielectrics: Theoretical investigations. Jpn. J. Appl. Phys. 46(9R), 5970 (2007).

    CAS  Google Scholar 

  95. G. Dubois, T. Magbitang, W. Volksen, E.E. Simonyi, and R.D. Miller: New spin-on oxycarbosilane low-k dielectric materials with exceptional mechanical properties. In Proceedings of the IEEE 2005 International Interconnect Technology Conference, IEEE: 2005: p. 226.

  96. H. Li, Y. Lin, T.Y. Tsui, and J.J. Vlassak: The effect of porogen loading on the stiffness and fracture energy of brittle organosilicates. J. Mater. Res. 24(01), 107 (2009).

    CAS  Google Scholar 

  97. K. Vanstreels, C. Wu, P. Verdonck, and M.R. Baklanov: Intrinsic effect of porosity on mechanical and fracture properties of nanoporous ultralow-k dielectrics. Appl. Phys. Lett. 101(12), 123109 (2012).

    Google Scholar 

  98. K. Vanstreels, C. Wu, M. Gonzalez, D. Schneider, D. Gidley, P. Verdonck, and M.R. Baklanov: Effect of pore structure of nanometer scale porous films on the measured elastic modulus. Langmuir 29(38), 12025 (2013).

    CAS  Google Scholar 

  99. K. Vanstreels, C. Wu, and M.R. Baklanov: Mechanical stability of porous low-k dielectrics. ECS J. Solid State Sci. Technol. 4(1), N3058 (2015).

    CAS  Google Scholar 

  100. S. Takada, N. Hata, Y. Seino, N. Fujii, and T. Kikkawa: Dependences of Young’s modulus of porous silica low dielectric constant films on skeletal structure and porosity. J. Appl. Phys. 100(12), 123512 (2006).

    Google Scholar 

  101. A.M. Urbanowicz, K. Vanstreels, P. Verdonck, E. Van Besien, T. Christos, D. Shamiryan, S. De Gendt, and M.R. Baklanov: Effect of UV wavelength on the hardening process of porogen-containing and porogen-free ultralow-k plasma-enhanced chemical vapor deposition dielectrics. J. Vac. Sci. Technol., B 29(3), 032201 (2011).

    Google Scholar 

  102. K. Landskron, B.D. Hatton, D.D. Perovic, and G.A. Ozin: Periodic mesoporous organosilicas containing interconnected [Si(CH2)]3 rings. Science 302(5643), 266 (2003).

    CAS  Google Scholar 

  103. H. Fan, C. Hartshorn, T. Buchheit, D. Tallant, R. Assink, R. Simpson, D.J. Kissel, D.J. Lacks, S. Torquato, and C.J. Brinker: Modulus-density scaling behaviour and framework architecture of nanoporous self-assembled silicas. Nat. Mater. 6(6), 418 (2007).

    CAS  Google Scholar 

  104. F.K. de Theije, A.R. Balkenende, M.A. Verheijen, M.R. Baklanov, K.P. Mogilnikov, and Y. Furukawa: Structural characterization of mesoporous organosilica films for ultralow-k dielectrics. J. Phys. Chem. B 107(18), 4280 (2003).

    Google Scholar 

  105. W. Wang, D. Grozea, S. Kohli, D.D. Perovic, and G.A. Ozin: Water Repellent periodic mesoporous organosilicas. ACS Nano 5(2), 1267 (2011).

    CAS  Google Scholar 

  106. A.W. Wills, D.J. Michalak, P. Ercius, E.R. Rosenberg, T. Perciano, D. Ushizima, R. Runser, and B.A. Helms: Block copolymer packing limits and interfacial reconfigurability in the assembly of periodic mesoporous organosilicas. Adv. Funct. Mater. 25(26), 4120 (2015).

    CAS  Google Scholar 

  107. A. Bétard and R.A. Fischer: Metal–organic framework thin films: From fundamentals to applications. Chem. Rev. 112(2), 1055 (2012).

    Google Scholar 

  108. Z. Wang, J. Liu, S. Grosjean, D. Wagner, W. Guo, Z. Gu, L. Heinke, H. Gliemann, S. Bräse, and C. Wöll: Monolithic, crystalline MOF Coating: An excellent patterning and photoresist material. ChemNanoMat 1(5), 338–345 (2015).

    CAS  Google Scholar 

  109. S. Eslava, L. Zhang, S. Esconjauregui, J. Yang, K. Vanstreels, M.R. Baklanov, and E. Saiz: Metal-organic framework ZIF-8 films As low-κ dielectrics in microelectronics. Chem. Mater. 25(1), 27 (2013).

    CAS  Google Scholar 

  110. A. Thomas: Functional materials: From hard to soft porous frameworks. Angew. Chem., Int. Ed. 49(45), 8328 (2010).

    CAS  Google Scholar 

  111. A.P. Roberts and E.J. Garboczi: Computation of the linear elastic properties of random porous materials with a wide variety of microstructure. Proc. R. Soc. Lond. A Math Phys. Sci. 458(2021), 1033 (2002).

    Google Scholar 

  112. A.R. Boccaccini and Z. Fan: A new approach for the Young’s modulus-porosity correlation of ceramic materials. Ceram. Int. 23(3), 239 (1997).

    CAS  Google Scholar 

  113. I.M. Gitman, H. Askes, and L.J. Sluys: Representative volume: Existence and size determination. Eng. Fract. Mech. 74(16), 2518 (2007).

    Google Scholar 

  114. M. Ostoja-Starzewski: Material spatial randomness: From statistical to representative volume element. Probabilist. Eng. Mech. 21(2), 112 (2006).

    Google Scholar 

  115. Z. Chen, X. Wang, F. Giuliani, and A. Atkinson: Microstructural characteristics and elastic modulus of porous solids. Acta Mater. 89, 268 (2015).

    CAS  Google Scholar 

  116. P. Marsik, A.M. Urbanowicz, P. Verdonck, D. De Roest, H. Sprey, and M.R. Baklanov: Effect of ultraviolet curing wavelength on low-k dielectric material properties and plasma damage resistance. Thin Solid Films 519(11), 3619 (2011).

    CAS  Google Scholar 

  117. M. Guan, W. Wang, E.J. Henderson, Ö. Dag, C. Kübel, V.S.K. Chakravadhanula, J. Rinck, I.L. Moudrakovski, J. Thomson, J. McDowell, A.K. Powell, H. Zhang, and G.A. Ozin: Assembling Photoluminescent silicon Nanocrystals into periodic mesoporous organosilica. J. Am. Chem. Soc. 134(20), 8439 (2012).

    CAS  Google Scholar 

  118. G. Lu, O.K. Farha, W. Zhang, F. Huo, and J.T. Hupp: Engineering ZIF-8 thin films for hybrid MOF-based devices. Adv. Mater. 24(29), 3970 (2012).

    CAS  Google Scholar 

  119. J.C. Tan, T.D. Bennett, and A.K. Cheetham: Chemical structure, network topology, and porosity effects on the mechanical properties of zeolitic imidazolate frameworks. Proc. Natl. Acad. Sci. U. S. A. 107(22), 9938 (2010).

    CAS  Google Scholar 

  120. A. Demessence, C. Boissiere, D. Grosso, P. Horcajada, C. Serre, G. Ferey, G.J.A.A. Soler-Illia, and C. Sanchez: Adsorption properties in high optical quality nanoZIF-8 thin films with tunable thickness. J. Mater. Chem. 20(36), 7676 (2010).

    CAS  Google Scholar 

  121. K.S. Park, Z. Ni, A.P. Côté, J.Y. Choi, R. Huang, F.J. Uribe-Romo, H.K. Chae, M. O’Keeffe, and O.M. Yaghi: Exceptional chemical and thermal stability of zeolitic imidazolate frameworks. Proc. Natl. Acad. Sci. U. S. A. 103(27), 10186 (2006).

    CAS  Google Scholar 

  122. S. Hermes, F. Schröder, R. Chelmowski, C. Wöll, and R.A. Fischer: Selective nucleation and growth of metal−organic open framework thin films on patterned COOH/CF3-terminated self-assembled monolayers on Au(111). J. Am. Chem. Soc. 127(40), 13744 (2005).

    CAS  Google Scholar 

  123. H. Gliemann and C. Wöll: Epitaxially grown metal-organic frameworks. Mater. Today 15(3), 110 (2012).

    CAS  Google Scholar 

  124. L. Heinke, M. Tu, S. Wannapaiboon, R.A. Fischer, and C. Wöll: Surface-mounted metal-organic frameworks for applications in sensing and separation. Microporous Mesoporous Mater. 216, 200 (2015).

    CAS  Google Scholar 

  125. J.D. Bielefeld: Personal communication, 2015.

Download references

ACKNOWLEDGMENTS

Kris Vanstreels and IMEC for nano indentation measurements. Han Li at Intel for nano-indentation and aDCB measurements. Mark Lemay and Eungnak Han for PMO patterning support. Brian Krist and Gaiying Yang for TEM support. Rami Hourani, Shane Harlson, Marie Krysak, Steve Putna, and Kent Frasure for chemical synthesis, deposition, and characterization work. Jeff Callipare, Jack Mitchell, Brian Barley, and Bob Hankel for film deposition, capacitance, and EP measurements. Mike Bowen for ALD support. Satyarth Suri for etch development work. Marc French for XRD support. Mike Terzich for SEM support. Jeff Bielefeld, Canay Ege, Sean King, Ebony Mays, David Collins, and Veronica Strong for helpful discussions and other support.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to David J. Michalak.

Additional information

This paper has been selected as an Invited Feature Paper.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Michalak, D.J., Blackwell, J.M., Torres, J.M. et al. Porosity scaling strategies for low-k films. Journal of Materials Research 30, 3363–3385 (2015). https://doi.org/10.1557/jmr.2015.313

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1557/jmr.2015.313

Navigation