Skip to main content
Log in

The effect of polymer hardness, pore size, and porosity on the performance of thermoplastic polyurethane-based chemical mechanical polishing pads

  • Published:
Journal of Materials Research Aims and scope Submit manuscript

Abstract

Solid-state microcellular foaming (SSMF) process was used to produce porous chemical mechanical polishing (CMP) pads in a variety of pore size and porosity range, using a variety of thermoplastic polyurethane (TPU) resin hardness. By controlling the pore size, porosity, and pad hardness, one is able to manufacture CMP pads that offer tunable pad properties. A brief introduction to the SSMF manufacturing process and thereby, unique microstructures created is first addressed followed by inner layer dielectric (ILD) CMP results, describing the effects of top TPU foam sheet properties, such as hardness, pore size, and porosity on ILD removal rate (RR) and wafer defects. Softer TPU-based porous pads showed significantly lower wafer scratch counts, while only a moderate increase in the ILD RR was seen with increasing resin hardness for similar pore size and porosity pads. Pore size has insignificant influence on wafer defect count but has significant influence on the ILD RR profile. CMP pads made from small pore size foams cause a nonflat RR profile.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

FIG. 1.
FIG. 2.
TABLE I.
TABLE II.
TABLE III.
FIG. 3.
FIG. 4.
FIG. 5.
FIG. 6.
FIG. 7.
FIG. 8.
FIG. 9.
FIG. 10.
FIG. 11.
FIG. 12.
FIG. 13.
FIG. 14.
FIG. 15.
FIG. 16.

Similar content being viewed by others

References

  1. Y. Taur, Y-J. Mii, D.J. Frank, H-S. Wong, D.A. Buchanan, S.J. Wind, S.A. Rishton, G.A. Sai-Halasz, and E.J. Nowak: CMOS scaling into the 21st century: 0.1 μm and beyond. IBM J. Res. Dev., 39, 245 (1995).

    Google Scholar 

  2. J.M. Steigerwald, S.P. Murarka, and R.J. Gutmann: Chemical Mechanical Planarization of Microelectronics Material (John Wiley & Sons, Inc., New York, NY, 1997).

    Google Scholar 

  3. J. Luo and D.A. Dornfeld: Integrated Modeling of Chemical Mechanical Planarization for Sub-micron IC Fabrication (Springer-Verlag, Berlin, Germany, 2004).

    Google Scholar 

  4. T.K. Doi: Polishing technology, in Handbook of Lapping and Polishing, edited by D. Ioan and D. Marinescu (CRC Press, 2006), chap. 6.

    Google Scholar 

  5. P.B. Zantye, A. Kumar, and A.K. Sikder: Chemical mechanical planarization for microelectronic applications. Mater. Sci. Eng. Rep. 45, 89 (2004).

    Google Scholar 

  6. C.L. Borst, W.N. Gill, and R.J. Gutmann: Chemical Mechanical Polishing of Low Dielectric Constant Polymers and Organosilicate Glasses: Fundamental Mechanisms and Application to IC Interconnect Technology (Kluwer Academic Publishers, Boston, MA, 2002).

    Google Scholar 

  7. S.R. Runnels and L.M. Eyma: Tribology analysis of chemical-mechanical polishing. J. Electrochem. Soc. 141(7), 1698, 1900 (1994).

    CAS  Google Scholar 

  8. G.P. Muldowney, C.L. Elmufdi, B. Jiang, and R. Palaparthi: Measurement of CMP pad texture contact, deformation and flow resistance: Advances in pad design and process predictability. (12th Int. CMP-MIC Conf. Proc. 20, Fremont, CA, 2007).

  9. D.G. Thakurta, C.L. Borst, D.W. Schwendman, R.J. Gutman, and W.N. Grill: Pad porosity, compressibility and slurry delivery effects in chemical-mechanical planarization: Modeling and experiments. Thin Solid Films 336(1–2), 181 (2000).

    Google Scholar 

  10. T. Bramblett, S. Narayanan, and A. Tregub: Characterization of CMP consumables: Correlation between material properties and CMP performance (12th Int. CMP-MIC Conf. Proc. 20, Fremont, CA, 2007).

  11. D. Wang, J. Lee, K. Holland, T. Bibby, and T. Cale: Von Mises stress in chemical-mechanical polishing process. J. Electrochem. Soc. 144(3), 1121 (1997).

    CAS  Google Scholar 

  12. M. R. Oliver (ed.), Chemical-Mechanical Planarization of Semiconductor Materials (Springer-Verlag, Heidelberg, Germany, 2004), pp. 167–213.

    Google Scholar 

  13. H.F. Reinhardt, J.V.H. Roberts, H.G. McClain, W.D. Budinger, and E.M. Jensen: Polymeric polishing pad containing hollow polymeric microelements. U.S. Patent No. 5 578 362, 1996.

  14. T. Iwase and T. Iwao: Polishing pads. U.S. Patent No. 7897250, 2011.

  15. J. Yu, D. Jia, S.S. Venkataraman, and Y. Li: 1H-benzotriazole incorporated pad for chemical mechanical planarization of copper. J. Electrochem. Soc., 157(3), H312 (2010).

    CAS  Google Scholar 

  16. A. Prasad: Microporous polishing pads. U.S. Patent Nos. 6 896 593, 6 913 517, and 6 935 931, 2005.

  17. A. Prasad: Microporous polishing pads. U.S. Patent No. 6 899 598, 2005.

  18. J. McGrath and C. Davis: Polishing pad surface characterization in CMP. Mater. Process. Technol. 153–154, 666–673 (2004)

    Google Scholar 

  19. Y. Mochizuki: Urethane molded products for polishing pad and method for making same. U.S. Patent No. 6239188B1, 2001.

  20. H. Shiho, H. Aoi, K. Hasegawa, and N. Kawahashi: Polishing pad. European Patent No. 1 418 021A1, 2004.

  21. K. Imada: Method of producing polishing sheet material. U.S. Patent No. 5094670, 1992.

  22. M.F. Hoffstein and T. Shinagawa: Inverted cell pad material for grinding, lapping, shaping and polishing. U.S. Patent No. 4841680, 1989.

  23. A.M. Crevasse, W.G. Easter, J.A. Maze, and F. Micelli: Method for making porous CMP article. U.S. Patent No. 6290883, 2001.

  24. D.R. Yeomans, D. Denardis, and L. Borucki: Design and evaluation of pad grooves for copper CMP. J. Electrochem. Soc., 155(10), 797 (2008).

    Google Scholar 

  25. C. Elmufdi and G. Muldowney: CMP pad having unevenly spaced grooves. U.S. Patent No. 7 267 610, 2007.

  26. A.R. Baker: The origin of edge effect in chemical mechanical planarization. In Proc. Electrochem. Soc., edited by J. Ali and S. Raghvan. (Electrochemical Society Inc., Pennington, NJ, 1992), 96–22; p. 228.

  27. L. Cook: Chemical process in glass polishing. J. Non-Cryst. Solids 120(1–3), 152 (1990).

    CAS  Google Scholar 

  28. D. Castillo-Mejia, J. Kelchner, and S. Beaudoin: Polishing pad surface morphology and chemical mechanical planarization. J. Electrochem. Soc. 151(4), G271 (2004).

    CAS  Google Scholar 

  29. C. Zhang and J. Luo: Contribution of porous pad to chemical mechanical polishing. Solid State Phenom. 121–123, 1133 (2007).

    Google Scholar 

  30. C.L. Elmufdi and G.P. Muldowney: The impact of diamond conditioning on surface contact in CMP pads. In Mat. Res. Soc. Symp. Proc.: Advances and challenges in CMP, edited by S. V. Babu, K. C. Cadien, J. G. Ryan, and H. Yano (MRS, Warrendale, PA, 2007) 991, pp. C01–02.

  31. C.L. Markham: 12th Int. CMP-MIC Conf. Proc., Vol. 20, Fremont, CA, 2007.

  32. J.E. Martini-Vvedensky, F.A. Waldman, and N.P. Suh: The production and analysis of microcellular foams. (Society of Plastic Engineers Technical paper, 28, 1982) p. 674.

    Google Scholar 

  33. J.E. Martini-Vvedensky, N.P. Suh, and F.A. Waldman: Microcellular closed cell foams and their method of manufacture. U.S. Patent No. 4 473 665, 1984.

  34. Z. Zhang and Y.P. Handa: A in situ study of plasticization of polymers by high-pressure gases. J. Polym. Sci. Part B: Polym. Phys. 36, 977 (1998).

    CAS  Google Scholar 

  35. V. Kumar and H.G. Schirmer: Semi-continuous production of solid state PET foams. (Society of Plastics Engineers Technical Papers, 41, 1995) p. 2189.

    Google Scholar 

  36. V. Kumar and H. Schirmer: A semi-continuous process to produce microcellular foams. U.S. Patent No. 5 684 055, 1997.

  37. K.A. Seeler, S.A. Billington, B.D. Drake, and V. Kumar: Net-shape forming of sintered microcellular foam parts. ASME Cell. Microcell. Mater., 76, 65 (1996).

    CAS  Google Scholar 

  38. K. Nadella, S. Powers, and T. Malone: Methods and pressure vessels for solid-state microcellular processing of thermoplastic rolls or sheets. U.S. Patent No. 8 080 194, 2010.

  39. G. Oertel. Polyurethane Handbook (Hanser Press, Munich, Germany, 1994).

    Google Scholar 

  40. M. Szycher. Szycher's Handbook of Polyurethanes (CRC Press, Boca Raton, CA, 1999), pp. 1812–1823.

    Google Scholar 

  41. W. Michaeli and R. Heinz: Foam extrusion of thermoplastics polyurethane using CO2 as blowing agent. Macromol. Mater. Eng. 284, 35 (2000).

    Google Scholar 

  42. A.K. Nema, A.V. Deshmukh, K. Palanivelu, S.K. Sharma, and T. Malik: Effect of exo- and endothermic blowing and wetting agents on morphology, density and hardness of thermoplastic polyurethanes foams. J. Cell. Plast. 44, 277 (2008).

    CAS  Google Scholar 

  43. S.K. Goel and E.J. Beckman: Generation of microcellular polymeric foams using supercritical carbon dioxide. I: Effect of pressure and temperature on nucleation. Polym. Eng. Sci. 34(14), 1137 (1994).

    CAS  Google Scholar 

  44. K.C. Lin, C.H. Chen, H.C. Peng, C.F. Kuan, and H.C. Kuan: Batch foaming behavior of TPU materials. (Society of Plastics Engineers Technical Papers, 78, 2012), p. 155.

    Google Scholar 

  45. S. Ito, K. Matsunaga, M. Tajima, and Y. Yoshida: Generation of microcellular polyurethane with supercritical carbon dioxide. J. Appl. Polym. Sci. 106, 3581 (2007).

    CAS  Google Scholar 

  46. X. Dai, Z. Liu, Y. Wang, G. Yang, J. Xu, and B. Han: High damping properties of microcellular polymer prepared by friendly environmental approach. J. Supercrit. Fluids 33, 259 (2005).

    CAS  Google Scholar 

  47. A. Prasad, H. Xiang, J. Wang, and E.E. Remsen: Analysis of pre- and post-conditioned polyurethane CMP pad surfaces as a function of conditioning temperature. Electrochem. Trans. 3(41), 31 (2007).

    CAS  Google Scholar 

  48. A. Prasad, E.E. Remsen, and H. Xiang: Characterization of physical and chemical changes in polyurethane pad surfaces during CMP: Thermo-set (PU) vs. thermoplastic (TPU), 11th Int. CMP-MIC Conf. Proc., Vol. 19, Freemont, CA, 2006, p. 54.

  49. T. Ring, A. Prasad, and J. Dirksen: Dynamic CMP pad asperity population balance for conditioning and polishing, 13th Int. CMP-MIC Conf. Proc., Vol. 21, Freemont, CA, 2008.

  50. T. Kasai, C. Woo Nam, S. Li, J. Kasthurirangan, W. Fortino, A. Prasad, G. Gaudet, A. Naman, and F. Sun: Next generation polish pad tunability on CMP performance, Proc. Int. Conf. Planarization/CMP Technol., Fukuoka, Japan, 2009 p. 91.

  51. F. Sun, J. Hawkins, J. Tsai, G. Chiu, and A. Naman: A matching game for CMP pads and conditioners CMP and cleaning. ECS Trans. 18, 517 (2009).

    CAS  Google Scholar 

  52. D.B. James: Pad properties during polishing and their effects on polishing performance, 6th Int. CMP-MIC Conf. Proc., Vol. 14, Freemont, CA, 2001.

  53. R. Bajaj, M. Desai, R. Jairath, M. Stell and R. Tolles: Effect of polishing pad material property on chemical mechanical polishing (CMP) process, in Mat. Soc. Symp. Proc.: Advanced Metallization for Devices and Circuits–Science, Technology and manufacturing III. (MRS Warrendale, PA, 1994) 337, p. 637.

  54. Y. Moon: Mechanical aspect of material removal rate mechanism in chemical mechanical polishing (CMP). Ph.D. Thesis, University of California, Berkley, CA, 1999.

    Google Scholar 

  55. J. E. Ramsdell: Characterization of chemical structure, morphology, and mechanical response of polyurethane pad surface as a result of exposure to common chemical mechanical planarization (CMP) environment. Ph.D. Thesis, University of Central Florida, Tampa, FL (2002).

    Google Scholar 

  56. H.-J. Kim, H.-Y. Kim, and H.-D. Jeong: Viscoelastic behavior of polishing pad and its influence on polishing non-uniformity. Semiworld J. 2, 1 (2002).

    Google Scholar 

  57. B.S. Kim, M.H. Tucker, J.D. Kelchner, and S.P. Beaudin: Study on the mechanical properties of CMP pads. IEEE Trans. Semicond. Manuf. 21(3), 454 (2008).

    Google Scholar 

  58. W.C. Allison, R.G. Swisher, A.E. Wang, and S.D. Patker: Characterization and application of novel CMP pad. Proc. Semicon. West, San Jose, CA, 2003.

    Google Scholar 

  59. S. Li, G. Gaudet, and J. Nair: ILD CMP with silica abrasive particles: Effect of pore size of CMP pads on removal rate profiles. ECS J. Solid State Sci. Technol. 2(3), P97 (2013).

    CAS  Google Scholar 

  60. V. Kumar and J.E. Weller: On the skin thickness of microcellular foams: The effect of foaming temperature (Society of Plastics Engineers Technical Papers, 43, 1997) p. 2037.

    Google Scholar 

  61. K. Nadella, F. Mehta, V. Kumar, and W. Li: Predictions of density variation in thick microcellular sheets (Society of Plastics Engineers Technical Papers, 50, 2004) p. 2610.

    Google Scholar 

  62. W. Vieth: Diffusion in and through Polymers, Carl Hanser Press, Munich, p. 15–44, 165–197 (1991).

    Google Scholar 

  63. K. Robinson: Fundamentals of CMP slurry. In Chemical-Mechanical Planarization of Semiconductor Material, edited by M.R. Oliver. (Springer-Verlag, Heidelberg, Germany, 2005).

    Google Scholar 

  64. L.G. Gibson and M.F. Ashby: Cellular Solids: Structure and Properties, 2nd ed. (Cambridge University Press, Cambridge, UK, 1999).

    Google Scholar 

  65. A.P. Roberts and E.J. Garboczi: Elastic properties of model random three-dimensional open cell solid. J. Mater. Phys. Solids, 50, 33 (2002).

    Google Scholar 

  66. R.P. Juntunen, V. Kumar, J.E. Weller, and W.R. Bezubic: Impact strength of high density microcellular poly(vinyl chloride) foams. J Vinyl. Additive Technol., 6(2), 93 (2000).

    CAS  Google Scholar 

  67. R. Bajaj, S. Hymes, N. Vaduri, and S. Fisher: Opportunities and challenges in development of new CMP pad platform. Proc. Of 22nd CMPUG, San Jose, CA, May 2009.

  68. F. Sugimoto, Y. Arimoto, and T. Ito: Simultaneous temperature measurement of wafers in chemical mechanical polishing of silicon dioxide layer. Jpn. J. Appl. Phys. 34, 6314 (1995).

    CAS  Google Scholar 

  69. S. Li, G. Gaudet, F. Sun, and A. Naman: ILD CMP with silica abrasive particles: Interfacial removal kinetics and effect of pad surface textures. J. Electrochem. Soc. 157(11), H1061 (2010).

    CAS  Google Scholar 

  70. Y. Homma: Dynamic mechanism of chemical mechanical polishing analyzed to correct Preston’s empirical model. J. Electrochem. Soc. 153, G587 (2006).

    CAS  Google Scholar 

Download references

Acknowledgments

The authors wish to acknowledge Dr. Jayakrishnan Nair and Dr. Robert Vacassy for their constructive comments and suggestions. The authors also wish to acknowledge Cabot Microelectronics Corporation support and permission to publish this work.

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Abaneshwar Prasad or George Fotou.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Prasad, A., Fotou, G. & Li, S. The effect of polymer hardness, pore size, and porosity on the performance of thermoplastic polyurethane-based chemical mechanical polishing pads. Journal of Materials Research 28, 2380–2393 (2013). https://doi.org/10.1557/jmr.2013.173

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1557/jmr.2013.173

Navigation