Skip to main content
Log in

Moisture uptake and dielectric property of methylsilsesquioxane/high-temperature porogen hybrids and porous low-k films

  • Articles
  • Published:
Journal of Materials Research Aims and scope Submit manuscript

Abstract

Two high-temperature pore generators (porogens) have been used to study the effect of porogen structure on moisture uptake and k-value in methylsilsesquioxane/porogen hybrid films and their corresponding porous films in a postintegration porogen removal scheme. Poly(styrene-b-4-vinylpyridine) containing di-block structure and pyridine polar group leads to higher moisture uptake and k-value in the hybrid films as compared to poly(styrene-block-butadiene-block-styrene) with symmetrical structure and nonpolar groups. Moreover, the moisture uptake behavior in both as-prepared hybrid films is in physical sorption mode based on their reversible adsorption-desorption curve measured by quartz crystal microbalance. After porogen removal, the k-values of porous films are favorably not influenced by porogen structures, and their moisture uptake is as low as 1.78 wt% even at 40 vol.% porosity. However, based on the simulation of the modified-Rayleigh model, the porous films are found to possess 0.4 vol.% chemisorbed moisture on the pore surface, resulting in 17-23% deviation from the ideal k-values.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

FIG. 1.
FIG. 2.
TABLE I.
FIG. 3.
FIG. 4.
FIG. 5.
FIG. 6.
FIG. 7.
TABLE II.

Similar content being viewed by others

References

  1. M.T. Bohr: Interconnect scaling—the real limiter to high performance ULSI, in IEEE IEDM, December 10–13, 1995, pp. 241–244.

    Google Scholar 

  2. Y.H. Wang and R. Kumar: Stability of carbon-doped silicon oxide low-k thin films. J. Electrochem. Soc. 151, F73 (2004).

    Article  CAS  Google Scholar 

  3. S.J. Martin, J.P. Godschalx, M.E. Mills, E.O. Shaffer, and P.H. Townsend: Development of a low-dielectric-constant polymer for the fabrication of integrated circuit interconnect. Adv. Mater. 12, 1769 (2000).

    Article  CAS  Google Scholar 

  4. ITRS: International Technology Roadmap for Semiconductor, Executive Summary, 2010 Edition (2010).

  5. K. Mosig, T. Jacobs, K. Brennan, M. Rasco, J. Wolf, and R. Augur: Integration challenges of porous ultra low-k spin-on dielectrics. Microelectron. Eng. 64, 11 (2002).

    Article  CAS  Google Scholar 

  6. T. Abell and K. Maex: Damage minimized plasma pore sealing of microporous low k dielectrics. Microelectron. Eng. 76, 16 (2004).

    Article  CAS  Google Scholar 

  7. J. Calvert, M. Gallagher, T. Adams, A. Pandit, G. Prokopowicz, C. Sullivan, and H. Zhen: ZirkonTM Porous Ultra Low-K Dielectrics (Shipley Co., Marlborough, MA), Poster on MINATEC 2003 meeting (2003).

    Google Scholar 

  8. S. Malhouitre, C. Jehoul, J.V. Aelst, H. Struyf, S. Brongersma, L. Carbonell, I. Vos, G. Beyer, M.V. Hove, D. Gronbeck, M. Gallagher, J. Calvert, and K. Maex: Single damascene integration of porous Zirkon™ version 1 low-k dielectric films. Microelectron. Eng. 70, 302 (2003).

    Article  CAS  Google Scholar 

  9. M. Fayolle, V. Jousseaume, M. Assousa, E. Tabouret, C. Le Comec, P.H. Hamessera, P. Leduc, H. Feldis, O. Louveau, G. Passernard, and F. Fusalbab: Cu/ULK integration using a post integration porogen removal approach, in IEEE IITC, June 7–9, 2004, pp. 208–210.

    Google Scholar 

  10. T. Frot, W. Volksen, T. Magbitang, D. Miller, S. Purushothaman, M. Lofaro, R. Bruce, and G. Dubois: Post porosity plasma protection a new approach to integrate k = 2.2 porous ULK materials, in IEEE IITC, May 8–12, 2011, pp. 1–3.

    Google Scholar 

  11. H. Shi, H. Huang, J. Bao, J. Im, P.S. Ho, Y. Zhou, J.T. Pender, M. Armacost, and D. Kyser: Plasma altered layer model for plasma damage characterization of porous OSG films, in IEEE IITC, June 1–3, 2009, pp. 78–80.

    Google Scholar 

  12. M. Che, C. Huang, S. Choang, Y. Chen, and J. Leu: Thermal and mechanical properties of hybrid methylsilsesquioxane/poly(styrene-b-4-vinylpyridine) low-k dielectrics using a late porogen removal scheme. J. Mater. Res. 25, 1049 (2010).

    Article  CAS  Google Scholar 

  13. J.T. Wetzel, S.H. Lin, E. Mickler, J. Lee, B. Ahlbum, C. Jin, R.J. Fox III, M.H. Tsai, W. Mlynko, K.A. Monnig, and P.M. Winebarger: Evaluation of material-property requirements and performance of ultra-low-dielectric constant insulators for inlaid copper metallization, in IEEE IEDM, December 2–5, 2001, pp. 4.1.1–4.1.3.

    Google Scholar 

  14. R.J.O.M. Hoofman, V.H. Nguyen, V. Arnal, M. Broekaart, L.G. Gosset, W.F.A. Besling, M. Fayolle, and F. Lacopi: Integration of low-k dielectric films in damascene processes, in Dielectric Films for Advanced Microelectronics, edited by M. Baklanov, M. Green, and K. Maex (John Wiley & Sons, New York, 2007), p. 214.

    Google Scholar 

  15. A. Gawase, S. Chikaki, N. Nakamura, E. Soda, N. Oda, and S. Saito: Effect of water adsorption on electrical characteristics of porous silica films in scalable porous silica (k = 2.1)/Cu interconnect structure. Jpn. J. Appl. Phys. 49, 05FD02 (2010).

    Article  Google Scholar 

  16. M. Kodera, T. Takahashi, and G. Mimamihaba: Evaluation of dielectric constant through direct chemical mechanical planarization of porous low-k film. Jpn. J. Appl. Phys. 49, 04DB07 (2010).

    Article  Google Scholar 

  17. D. Shamiryan, T. Abell, F. Iacopi, and K. Maex: Low-k dielectric materials. Mater. Today 7 (1), 34 (2006).

    Article  Google Scholar 

  18. C.C. Chang, S.K. Jangjian, and J.S. Chen: The influences of moisture and fluorine on the characteristics of fluorinated silicate glass for copper metallization. J. Electrochem. Soc. 153, G901 (2006).

    Article  CAS  Google Scholar 

  19. D. Eon, M. Darnon, T. Chevolleau, T. David, L. Vallier, and O. Joubert: Etch mechanisms of hybrid low-k material (SiOCH with porogen) in fluorocarbon based plasma. J. Vac. Sci. Technol. B 25, 715 (2007).

    Article  CAS  Google Scholar 

  20. K.H. Pfeifer, E.R. Engbrecht, and M.K. Gallagher: Late-porogen removal integration for ultra-low-keff IMDs. Solid State Technol. 49, 59 (2006).

    CAS  Google Scholar 

  21. A. van der Lee, F. Salah, and B. Harzallah: A comparison of modern data analysis methods for X-ray and neutron specular reflectivity data. J. Appl. Cryst. 40, 820 (2007).

    Article  Google Scholar 

  22. K. Maex, M.R. Baklanov, D. Shamiryan, F. Iacopi, S.H. Brongersma, and Z.S. Yanovitskaya: Low-dielectric constant materials for microelectronics. J. Appl. Phys. 93, 8793 (2003).

    Article  CAS  Google Scholar 

  23. J.J. Si, H. Ono, K. Uchida, S. Nozaki, H. Morisaki, and N. Itoh: Correlation between the dielectric constant and porosity of nanoporous silica thin films deposited by the gas evaporation technique. Appl. Phys. Lett. 79, 3140 (2001).

    Article  CAS  Google Scholar 

  24. J. Hong, H. Yang, M. Jo, H. Park, and S. Choi: Preparation and characterization of porous silica xerogel film for low dielectric application. Thin Solid Films 308, 495 (1997).

    Article  Google Scholar 

  25. C. Lu and A.W. Czanderna: Applications of Piezoelectric Quartz Crystal Microbalance (Elsevier, New York, 1984).

    Google Scholar 

  26. C.Y. Wang, J.Z. Zheng, Z.X. Shen, Y. Xu, S.L. Lim, R. Liu, and A.C.H. Huan: Characterization of a low-k organic spin-on-glass as an intermetal dielectric. Surf. Interface Anal. 28, 97 (1999).

    Article  CAS  Google Scholar 

  27. S. Rogojevic, A. Jain, W.N. Gill, and J. Plawsky: Moisture adsorption in nanoporous silica xerogels. Electrochem. Solid-state Lett. 5, F22 (2002).

    Article  CAS  Google Scholar 

  28. T.C. Chang, Y.S. Mor, P.T. Liu, T.M. Tsai, C.W. Chen, Y.J. Mei, and S.M. Sze: Recovering dielectric loss of low dielectric constant organic siloxane during the photoresist removal process. J. Electrochem. Soc. 149, F81 (2002).

    Article  CAS  Google Scholar 

  29. C.Y. Wang, Z.X. Shen, and J.Z. Zheng: Thermal cure study of a low-k methyl silsesquioxane for intermetal dielectric application by FT-IR spectroscopy. Appl. Spectrosc. 54, 209 (2000).

    Article  CAS  Google Scholar 

  30. A. Grill and D.A. Neumayer: Structure of low-dielectric constant to extreme low-dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization. J. Appl. Phys. 94 (10), 6697 (2003).

    Article  CAS  Google Scholar 

  31. J. Proost, E. Kondoh, G. Vereeche, M. Heyns, and K. Maex: Critical role of degassing for hot aluminum filling. J. Vac. Sci. Technol. B 16, 2091 (1998).

    Article  CAS  Google Scholar 

  32. S. Kuroki and T. Kikkawa: Measurement and analysis of water adsorption in porous silica films. J. Electrochem. Soc. 153, G759 (2006).

    Article  CAS  Google Scholar 

  33. S. Kim, J. Park, and D. Choi: The carrier gas and surface passivation effects on selectivity in chemical vapor deposition of copper films. Thin Solid Films 320, 95 (1998).

    Article  CAS  Google Scholar 

  34. H. Shi, J. Bao, R.S. Smith, H. Huang, J. Liu, P.S. Ho, M.L. McSwiney, M. Moinpour, and G.M. Kloster: Origin of dielectric loss induced by oxygen plasma on organo-silicate glass low-k dielectrics. Appl. Phys. Lett. 93, 192909 (2008).

    Article  Google Scholar 

  35. J.Y. Kim, M.S. Hwang, Y. Kim, H.J. Kim, and Y. Kee: Origin of low-dielectric constant of carbon-incorporated silicon oxide film deposited by plasma enhanced chemical vapor deposition. J. Appl. Phys. 90 (5), 2469 (2001).

    Article  CAS  Google Scholar 

  36. D.E. Aspnes: Optical properties of thin films. Thin Solid Films 89, 249 (1982).

    Article  CAS  Google Scholar 

  37. T. Kikkawa, S. Kuroki, S. Sakamoto, K. Kohmura, H. Tanaka, and N. Hata: Influence of humidity on electrical characteristics of self-assembled porous silica low-k films. J. Electrochem. Soc. 152, G560 (2005).

    Article  CAS  Google Scholar 

  38. C. Kittel: Introduction to Solid State Physics (John Wiley & Sons, New York, 1986).

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jihpemg Leu.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Che, ML., Teng, JY., Lai, PC. et al. Moisture uptake and dielectric property of methylsilsesquioxane/high-temperature porogen hybrids and porous low-k films. Journal of Materials Research 26, 2987–2995 (2011). https://doi.org/10.1557/jmr.2011.384

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1557/jmr.2011.384

Navigation