Skip to main content
Log in

Atomic layer deposition of noble metals: Exploration of the low limit of the deposition temperature

  • Article
  • Published:
Journal of Materials Research Aims and scope Submit manuscript

Abstract

The low limit of the deposition temperature for atomic layer deposition (ALD) of noble metals has been studied. Two approaches were taken; using pure oxygen instead of air and using a noble metal starting surface instead of Al2O3. Platinum thin films were obtained by ALD from MeCpPtMe3 and pure oxygen at deposition temperature as low as 200 °C, which is significantly lower than the low-temperature limit of 300 °C previously reported for the platinum ALD process in which air was used as the oxygen source. The platinum films grown in this study had smooth surfaces, adhered well to the substrate, and had low impurity contents. ALD of ruthenium, on the other hand, took place at lower deposition temperatures on an iridium seed layer than on an Al2O3 layer. On iridium surface, ruthenium films were obtained from RuCp2 and oxygen at 225 °C and from Ru(thd)3 and oxygen at 250 °C, whereas no films were obtained on Al2O3 at temperatures lower than 275 and 325 °C, respectively. The crystal orientation of the ruthenium films was found to depend on the precursor. ALD of palladium from a palladium ß-ketoiminate precursor and oxygen at 250 and 275 °C was also studied. However, the film-growth rate did not saturate to a constant level when the precursor pulse times were increased.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. C.S. Hwang: (Ba,Sr)TiO3 thin films for ultra large scale dynamic random-access memory. A review on the process integration. Mater. Sci. Eng. B 56, 178 (1998).

    Article  Google Scholar 

  2. M.S. Tsai, S.C. Sun, and T-Y. Tseng: Effect of bottom electrode materials on the electrical and reliability characteristics of (Ba,Sr)TiO3 capacitors. IEEE Trans. Electron Devices 46, 1829 (1999).

    Article  CAS  Google Scholar 

  3. J.A. Johnson, J.G. Lisoni, and D.J. Wouters: Developing a conductive oxygen barrier for ferroelectric integration. Microelectron. Eng. 70, 377 (2003).

    Article  CAS  Google Scholar 

  4. J. Bandaru, T. Sands, and L. Tsakalakos: Simple Ru electrode scheme for ferroelectric (Pb,La)(Zr,Ti)O3 capacitors directly on silicon. J. Appl. Phys. 84, 1121 (1998).

    Article  CAS  Google Scholar 

  5. M.A. Pawlak, T. Schram, K. Maex, and A. Vantomme: Investigation of iridium as a gate electrode for deep sub-micron CMOS technology. Microelectron. Eng. 70, 373 (2003).

    Article  CAS  Google Scholar 

  6. F. Papadatos, S. Skordas, S. Consiglio, A.E. Kaloyeros, and E. Eisenbraun: Characterization of ruthenium and ruthenium oxide thin films deposited by chemical vapor deposition for CMOS gate electrode applications, in Novel Materials and Processes for Advanced CMOS, edited by M.I. Gardner, S. De Gendt, J-P. Maria, and S. Stemmer (Mater. Res. Soc. Symp. Proc. 745, Warrendale, PA, 2003) p. 61.

    Google Scholar 

  7. K-J. Choi and S-G. Yoon: Characteristics of Pt and TaN metal gate electrode for high-_ hafnium oxide gate dielectrics. Electrochem. Solid-State Lett. 7, G47 (2004).

    Article  Google Scholar 

  8. D. Josell, D. Wheeler, C. Witt, and T.P. Moffat: Seedless superfill: Copper electrodeposition in trenches with ruthenium barriers. Electrochem. Solid-State Lett. 6, C143 (2003).

    Article  Google Scholar 

  9. Z. Wang, O. Yaegashi, H. Sakaue, T. Takahagi, and S. Shingubara: Highly adhesive electroless Cu layer formation using an ultra thin ionized cluster beam (ICB)-Pd catalytic layer for sub-100nm Cu interconnections. Jpn. J. Appl. Phys. 42, L1223 (2003).

    Article  Google Scholar 

  10. J.J. Kim, S-K. Kim, and Y.S. Kim: Direct plating of low resistivity bright Cu film onto TiN barrier layer via Pd activation. J. Electrochem. Soc. 151, C97 (2004).

    Article  Google Scholar 

  11. J.R.V. Garcia and T. Goto: Chemical vapor deposition of iridium, platinum, rhodium, and palladium. Mater. Trans. 44, 1717 (2003).

    Article  CAS  Google Scholar 

  12. M. Ritala and M. Leskelä: In Handbook of Thin Film Materials, edited by H.S. Nalwa (Academic Press, San Diego, CA 2001) p. 103.

  13. M. Ritala, M. Leskelä, J-P. Dekker, C. Mutsaers, P.J. Soininen, and J. Skarp: Perfectly conformal TiN and Al2O3 films deposited by atomic layer deposition. Chem. Vap. Deposition 5, 7 (1999).

    Article  CAS  Google Scholar 

  14. K-E. Elers, V. Saanila, P.J. Soininen, W-M. Li, J.T. Kostamo, S. Haukka, J. Juhanoja, and W.F.A. Besling: Diffusion barrier deposition on a copper surface by atomic layer deposition. Chem. Vap. Deposition 8, 149 (2002).

    Article  CAS  Google Scholar 

  15. T. Aaltonen, P. Alén, M. Ritala, and M. Leskelä: Ruthenium thin films grown by atomic layer deposition. Chem. Vap. Deposition 9, 45 (2003).

    Article  CAS  Google Scholar 

  16. T. Aaltonen, M. Ritala, K. Arstila, J. Keinonen, and M. Leskelä: Atomic layer deposition of ruthenium thin films from Ru(thd)3 and oxygen. Chem. Vap. Deposition 10, 215 (2004).

    Article  CAS  Google Scholar 

  17. D-S. Yoon and J.S. Roh: Thin Pt layer insertion into the Ru bottom electrode: Effects on the surface morphology of a (Ba, Sr) TiO3 dielectric film and on the performance of the TiN barrier in the Pt/Ru/TiN/p-Si/Si heterostructure. Semicond. Sci. Technol. 17, 1048 (2002).

    Article  CAS  Google Scholar 

  18. T. Aaltonen, M. Ritala, V. Sammelselg, and M. Leskelä: Atomic layer deposition of iridium thin films. J. Electrochem. Soc. 151, G489 (2004).

    Article  Google Scholar 

  19. A.E. Kaloyeros and E. Eisenbraun: Ultrathin diffusion barriers/ liners for gigascale copper metallization. Annu. Rev. Mater. Sci. 30, 363 (2000).

    Article  CAS  Google Scholar 

  20. Y-S. Min, E.J. Bae, K.S. Jeong, Y.J. Cho, J-H. Lee, W.B. Choi, and G-S. Park: Ruthenium oxide nanotube arrays fabricated by atomic layer deposition using a carbon nanotube template. Adv. Mater. 15, 1019 (2003).

    Article  CAS  Google Scholar 

  21. O-K. Kwon, J-H. Kim, H-S. Park, and S-W. Kang: Atomic layer deposition of ruthenium thin films for copper glue layer. J. Electrochem. Soc. 151, G109 (2004).

    Article  Google Scholar 

  22. O-K. Kwon, S-H. Kwon, H-S. Park, and S-W. Kang: Plasmaenhanced atomic layer deposition of ruthenium thin films. Electrochem. Solid-State Lett. 7, C46 (2004).

    Article  Google Scholar 

  23. T. Aaltonen, M. Ritala, T. Sajavaara, J. Keinonen, and M. Leskelä: Atomic layer deposition of platinum thin films. Chem. Mater. 15, 1924 (2003).

    Article  CAS  Google Scholar 

  24. J.J. Senkevich, F. Tang, D. Rogers, J.T. Drotar, C. Jezewski, W.A. Lanford, G-C. Wang, and T-M. Lu: Substrate-independent palladium atomic layer deposition. Chem. Vap. Deposition 9, 258 (2003).

    Article  CAS  Google Scholar 

  25. T. Aaltonen, A. Rahtu, M. Ritala, and M. Leskelä: Reaction mechanism studies on atomic layer deposition of ruthenium and platinum. Electrochem. Solid-State Lett. 6, C130 (2003).

    Article  Google Scholar 

  26. J. Aarik, A. Aidla, A. Jaek, A-A. Kiisler, and A-A. Tammik: Properties of amorphous Al2O3 films grown by ALE. Acta Polytechn. Scand. Chem. Technol. Metall. Ser. 195, 201 (1990).

    CAS  Google Scholar 

  27. Y-H. Liu, Y-C. Cheng, Y-L. Tung, Y. Chi, Y-L. Chen, C-S. Liu, S-M. Peng, and G-H. Lee: Synthesis and characterization of fluorinated _-ketoiminate and imino-alcoholate Pd complexes: Precursors for palladium chemical vapor deposition. J. Mater. Chem. 13, 135 (2003).

    Article  CAS  Google Scholar 

  28. R.A. Waldo: An iteration procedure to calculate film compositions and thicknesses in electron-probe microanalysis. Microbeam Anal. 23, 310 (1988).

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Titta Aaltonen.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Aaltonen, T., Ritala, M., Tung, YL. et al. Atomic layer deposition of noble metals: Exploration of the low limit of the deposition temperature. Journal of Materials Research 19, 3353–3358 (2004). https://doi.org/10.1557/JMR.2004.0426

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1557/JMR.2004.0426

Navigation