Skip to main content
Log in

Lowering the formation temperature of the C54-TiSi2 phase using a metallic interfacial layer

  • Articles
  • Published:
Journal of Materials Research Aims and scope Submit manuscript

Abstract

We demonstrate that the formation temperature of the C54 TiSi2 phase from the bilayer reaction of Ti on Si is lowered by approximately 100 °C by placing an interfacial layer of Mo or W between Ti and Si. Upon annealing above 500 °C, the C49 TiSi2 phase forms first, as in the reaction of Ti directly on Si. However, the temperature range over which the C49 phase is stable is decreased by approximately 100 °C, allowing C54 TiSi2 formation below 700 °C. Patterned submicron lines (0.25−1.0 μm wide) fabricated without the Mo layer contain only the C49 TiSi2 phase after annealing to 700 °C for 30 s. With a Mo layer less than 3 nm thick between Ti and Si, however, a mixture of C49 and C54 TiSi2 was formed, resulting in a lower resistivity. The enhanced formation of the C54 TiSi2 is attributed to an increased density of nucleation sites for the C49-C54 phase transformation, arising from a finer grained precursor C49 phase.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. R. Beyers and R. Sinclair, J. Appl. Phys. 57, 5240 (1985).

    Article  CAS  Google Scholar 

  2. F. M. d’Heurle, P. Gas, I. Engström, S. Nygren, M. Östling, and C. S. Petersson, IBM RC Report 11151, Yorktown Heights, NY (1985).

  3. J. Lasky, J. Nakos, O. Cain, and P. Geiss, IEEE Trans. Electron Devices ED-38, 2629 (1991).

    Google Scholar 

  4. S. Nygren and F. M. d’Heurle, Solid State Phenomena, 23&24, 81 (1992).

    Article  Google Scholar 

  5. E. G. Colgan, J. P. Gambino, and Q. Z. Hong, Mater. Sci. Eng. R16, 43 (1996).

    Article  Google Scholar 

  6. T. Ohguro, S. Nakamura, M. Koike, T. Morimoto, A. Nishiyama, Y. Ushiku, T. Yoshitomi, M. Ono, M. Saito, and H. Iwai, IEEE Trans. Electron Devices ED-41, 2305 (1994).

    Article  Google Scholar 

  7. X-H. Li, R. A. Carlsson, S. F. Gong, and H. T. G. Hentzell, J. Appl. Phys. 72, 514 (1992).

    Article  CAS  Google Scholar 

  8. H. Kuwano, J. R. Phillips, and J. W. Mayer, Appl. Phys. Lett. 56, 440 (1990).

    Article  CAS  Google Scholar 

  9. R. T. Tung, in Silicide Thin Films—Fabrication, Properties and Applications, edited by R. T. Tung, K. Maex, P. W. Pellegrini, and L. H. Allen (Mater. Res. Soc. Symp. Proc. 402, Pittsburgh, PA, 1996), p. 101.

  10. R. W. Mann, G. L. Miles, T. A. Knotts, D. W. Rakowski, L. A. Clevenger, J. M. E. Harper, F. M. d’Heurle, and C. Cabral, Jr., Appl. Phys. Lett. 67, 3729 (1995).

    Article  CAS  Google Scholar 

  11. L. A. Clevenger, R. W. Mann, G. L. Miles, J. M. E. Harper, F. M. d’Heurle, C. Cabral, Jr., K. L. Saenger, T. A. Knotts, and D. W. Rakowski, Proc. VLSI Multilevel Interconnection Conf., 626 (1995).

  12. K. Holloway and R. Sinclair, J. Appl. Phys. 61, 1359 (1987).

    Article  CAS  Google Scholar 

  13. Z. Ma, Y. Xu, L. H. Allen, and S. Lee, J. Appl. Phys. 74, 2954 (1993).

    Article  CAS  Google Scholar 

  14. C. Cabral, Jr., L. A. Clevenger, G. B. Stephenson, S. Brauer, G. Morales, and K. F. Ludwig, Jr., in Applications of Synchrotron Radiation Techniques to Materials Science II, edited by D. L. Perry, N. Shinn, K. D’Amico, G. Ice, and L. Terminello (Mater. Res. Soc. Symp. Proc. 375, Pittsburgh, PA, 1995), p. 253.

  15. Z. Ma, L. A. Allen, and D. D. J. Allman, J. Appl. Phys. 77, 4384 (1995).

    Article  CAS  Google Scholar 

  16. Ivo J. M. M. Raaijmakers and K. B. Kim, J. Appl. Phys. 67, 6255 (1990).

    Article  CAS  Google Scholar 

  17. F. M. d’Heurle and P. Gas, J. Mater. Res. 1, 1 (1986).

    Article  Google Scholar 

  18. Z. Ma, L. A. Allen, and D. D. J. Allman, Thin Solid Films 253, 451 (1994).

    Article  CAS  Google Scholar 

  19. L. A. Clevenger, R. W. Mann, G. L. Miles, J. M. E. Harper, C. Cabral, Jr., F. M. d’Heurle, A. Domenicucci, and K. L. Saenger, J. Mater. Res. (in press).

  20. F. M. d’Heurle, VLSI Science and Technology, edited by C. Dell’Oca and W. M. Bullis (Electrochemical Society, Pennington, NJ, 1982), p. 194.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Cabral, C., Clevenger, L.A., Harper, J.M.E. et al. Lowering the formation temperature of the C54-TiSi2 phase using a metallic interfacial layer. Journal of Materials Research 12, 304–307 (1997). https://doi.org/10.1557/JMR.1997.0040

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1557/JMR.1997.0040

Navigation