Skip to main content
Log in

Parameters of Plasma and Kinetics of Active Particles in CF4 (CHF3) + Ar Mixtures of a Variable Initial Composition

  • Published:
Russian Microelectronics Aims and scope Submit manuscript

Abstract

The electrophysical parameters of plasma and the kinetics of active particles in CF4 + Ar and CHF3 + Ar mixtures under induction RF (13.56 MHz) discharge are compared. It is shown that the CHF3 + Ar system containing 0–75% Ar is intrinsic for systematically lower concentrations and flow densities of fluorine atoms, while they are higher in the case of fluorocarbon radicals and positive ions. The set of formal parameters in the form of flux density ratios is suggested in order to describe the formation and destruction of a fluorocarbon polymer film. It is confirmed that the advantage of the CHF3 + Ar system according to the etching selectivity of SiO2/Si is caused by its higher polymerization ability.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1.
Fig. 2.
Fig. 3.

Similar content being viewed by others

REFERENCES

  1. Wolf, S. and Tauber, R.N., Silicon Processing for the VLSI Era, Vol. 1: Process Technology, New York: Lattice, 2000.

    Google Scholar 

  2. Handbook of Plasma Processing Technology, Rossnagel, S.M., Cuomo, J.J., and Westwood, W.D., Eds., Park Ridge: Noyes, 1990.

  3. Roosmalen, A.J., Baggerman, J.A.G., and Brader, S.J.H., Dry Etching for VLSI, New York: Plenum, 1991.

    Book  Google Scholar 

  4. Kimura, T. and Ohe, K., Model and probe measurements of inductively coupled CF4 discharges, J. Appl. Phys., 2002, vol. 92, pp. 1780–1787.

    Article  Google Scholar 

  5. Kimura, T. and Ohe, K., Probe measurements and global model of inductively coupled Ar/CF4 discharges, Plasma Sources Sci. Technol., 1999, vol. 8, pp. 553–560.

    Article  Google Scholar 

  6. Standaert, T.E.F.M., Hedlund, C., Joseph, E.A., and Oehrlein, G.S., Role of fluorocarbon film formation in the etching of silicon, silicondioxide, silicon nitride, and amorphous hydrogenated silicon carbide, J. Vac. Sci. Technol., A, 2004, vol. 22, pp. 53–60.

    Article  Google Scholar 

  7. Lee, H.K., Chung, K.S., and Yu, J.S., Selective etching of thick Si3N4, SiO2 and Si by using CF4/O2 and C2F6 gases with or without O2 or Ar addition, J. Korean Phys. Soc., 2009, vol. 54, pp. 1816–1824.

    Article  Google Scholar 

  8. Lieberman, M.A. and Lichtenberg, A.J., Principles of Plasma Discharges and Materials Processing, New York: Wiley, 1994.

    Google Scholar 

  9. Yeom, G.Y. and Kushner, M.J., Si/SiO2 etch properties using CF4 and CHF3 in radio frequency cylindrical magnetron discharges, Appl. Phys. Lett., 1990, vol. 56, pp. 857–859.

    Article  Google Scholar 

  10. Gaboriau, F., Cartry, G., Peignon, M.-C., and Cardinaud, Ch., Selective and deep plasma etching of SiO2: comparison between different fluorocarbon gases (CF4, C2F6, CHF3) mixed with CH4 or H2 and influence of the residence time, J. Vac. Sci. Technol., B, 2002, vol. 20, pp. 1514–1521.

    Article  Google Scholar 

  11. Ho, P., Johannes, J.E., and Buss, R.J., Modeling the plasma chemistry of C2F6 and CHF3 etching of silicon dioxide, with comparisons to etch rate and diagnostic data, J. Vac. Sci. Technol., A, 2001, vol. 19, pp. 2344–2367.

    Article  Google Scholar 

  12. Bose, D., Rao, M.V.V.S., Govindan, T.R., and Meyyappan, M., Uncertainty and sensitivity analysis of gas-phase chemistry in a CHF3 plasma, Plasma Sources Sci. Technol., 2003, vol. 12, pp. 225–234.

    Article  Google Scholar 

  13. Proshina, O., Rakhimova, T.V., Zotovich, A., Lopaev, D.V., Zyryanov, S.M., and Rakhimov, A.T., Multifold study of volume plasma chemistry in Ar/CF4 and Ar/CHF3 CCP discharges, Plasma Sources Sci. Technol., 2017, in press. https://doi.org/10.1088/1361-6595/aa72c9.

  14. Chun, L., Efremov, A., Yeom, G.Y., and Kwon, K.-H., A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications, Thin Solid Films, 2015, vol. 579, pp. 136–148.

    Article  Google Scholar 

  15. Son, J., Efremov, A., Yun, S.J., Yeom, G.Y., and Kwon, K.-H., Etching characteristics and mechanism of sinxfilms for nano-devices in CH2F2/O2/Ar inductively coupled plasma: effect of O2 mixing ratio, J. Nanosci. Nanotech., 2014, vol. 14, pp. 9534–9540.

    Article  Google Scholar 

  16. Johnson, E.O. and Malter, L., A floating double probe method for measurements in gas discharges, Phys. Rev., 1950, vol. 80, pp. 58–70.

    Article  Google Scholar 

  17. Sugavara, M., Plasma Etching: Fundamentals and Applications, New York: Oxford Univ. Press, 1998.

    Google Scholar 

  18. Kwon, K.-H., Efremov, A., Kim, M., Min, N.K., Jeong, J., and Kim, K., A model-based analysis of plasma parameters and composition in HBr/X (X = Ar, Ge, N2) inductively coupled plasmas, J. Electrochem. Soc., 2010, vol. 157, pp. H574–H579.

    Article  Google Scholar 

  19. Efremov, A., Min, N.K., Choi, B.G., Baek, K.H., and Kwon, K.-H., Model-based analysis of plasma parameters and active species kinetics in Cl2/X (X = Ar, He, N2) inductively coupled plasmas, J. Electrochem. Soc., 2008, vol. 155, pp. D777–D782.

    Article  Google Scholar 

  20. Kokkoris, G., Goodyear, A., Cooke, M., and Gogolides, E., A global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics, J. Phys. D: Appl. Phys., 2008, vol. 41, p. 195211.

    Article  Google Scholar 

  21. NIST Chemical Kinetics Database. https://kinetics. nist.gov/kinetics/welcome.jsp.

  22. Efremov, A.M., Kim, D.-P., and Kim, C.-I., Effect of gas mixing ratio on gas-phase composition and etch rate in an inductively coupled CF4/Ar plasma, Vacuum, 2004, vol. 75, pp. 133–142.

    Article  Google Scholar 

  23. Lele, C., Liang, Z., Linda, X., Dongxia, L., Hui, C., and Tod, P., Role of CF2 in the etching of SiO2, Si3N4 and Si in fluorocarbon plasma, J. Semicond., 2009, vol. 30, p. 033005-1.

    Article  Google Scholar 

  24. Kay, E., Coburn, J., and Dilks, A., Plasma chemistry of fluorocarbons as related to plasma etching and plasma polymerization, in Plasma Chemistry III. Topics in Current Chemistry, Eds. by S. Veprek and M. Venugopalan (Springer, Berlin, 1980), vol. 94, pp. 123–145.

  25. Kay, E. and Dilks, A., Plasma polymerization of fluorocarbons in rf capacitively coupled diode system, J. Vac. Sci. Technol., 1981, vol. 18, pp. 1–11.

    Article  Google Scholar 

  26. Stoffels, W.W., Stoffels, E., and Tachibana, K., Polymerization of fluorocarbons in reactive ion etching plasmas, J. Vac. Sci. Technol., A, 1998, vol. 16, pp. 87–95.

    Article  Google Scholar 

  27. Gray, D.C., Tepermeister, I. and Sawin, H.H., Phenomenological modeling of ion enhanced surface kinetics in fluorine-based plasma etching, J. Vac. Technol., B, 1993, vol. 11, pp. 1243–1257.

  28. Efremov, A.M., Kim, D.P., and Kim, C.-I., Simple model for ion-assisted etching using Cl2/Ar inductively coupled plasma: effect of gas mixing ratio, IEEE Trans. Plasma Sci., 2004, vol. 32, pp. 1344–1351.

    Article  Google Scholar 

  29. Jansen, H., Gardeniers, H., de Boer, M., Elwenspoek, M., and Fluitman, J., A survey on the reactive ion etching of silicon in microtechnology, J. Micromech. Microeng., 1996, vol. 6, pp. 14–28.

    Article  Google Scholar 

Download references

ACKNOWLEDGMENTS

The work was supported by the Russian Foundation for Basic Research (project no. 18-37-00064 mol_а).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to A. M. Efremov.

Additional information

Translated by A. Muravev

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Efremov, A.M., Murin, D.B. & Kwon, KH. Parameters of Plasma and Kinetics of Active Particles in CF4 (CHF3) + Ar Mixtures of a Variable Initial Composition. Russ Microelectron 47, 371–380 (2018). https://doi.org/10.1134/S1063739718060033

Download citation

  • Received:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1134/S1063739718060033

Navigation