Skip to main content
Log in

Automatic Performance Setting for Dynamic Voltage Scaling

  • Published:
Wireless Networks Aims and scope Submit manuscript

Abstract

The emphasis on processors that are both low power and high performance has resulted in the incorporation of dynamic voltage scaling into processor designs. This feature allows one to make fine granularity tradeoffs between power use and performance, provided there is a mechanism in the OS to control that tradeoff. In this paper, we describe a novel software approach to automatically controlling dynamic voltage scaling in order to optimize energy use. Our mechanism is implemented in the Linux kernel and requires no modification of user programs. Unlike previous automated approaches, our method works equally well with irregular and multiprogrammed workloads. Moreover, it has the ability to ensure that the quality of interactive performance is within user specified parameters. Our experiments show that as a result of our algorithm, processor energy savings of as much as 75% can be achieved with only a minimal impact on the user experience.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. S.K. Card, T.P. Moran and A. Newell, The Psychology of Human – Computer Interaction (Lawrence Erlbaum Associates, 1983).

  2. Developer manual, Intel 80200 processor based on Intel XScale microarchitecture, http://developer.intel.com/design/iio/manuals/273411.htm

  3. K. Flautner, R. Uhlig, S. Reinhardt and T. Mudge, Thread-level parallelism and interactive performance of desktop applications, in: Proceedings of Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-IX) (November 2000).

  4. J. Flinn and M. Satyanarayanan, Energy-aware adaptation for mobile applications, in: Proceedings of 17th ACM Symposium on Operating Systems Principles (SOSP-17) (December 1999).

  5. K. Govil, E. Chan and H. Wasserman, Comparing algorithms for dynamic speed-setting of a low-power CPU, in: Proceedings of the First International Conference on Mobile Computing and Networking (November 1995).

  6. D. Grunwald, P. Levis, K. Farkas, C.B. Morrey III and M. Neufeld, Policies for dynamic clock scheduling, in: Proceedings of the Fourth Symposium on Operating Systems Design & Implementation (October 2000).

  7. C.M. Krishna and Y.-H. Lee, Voltage-clock-scaling adaptive scheduling techniques for low power hard real-time systems, in: Proceedings of the Sixth IEEE Real Time Technology and Applications Symposium (RTAS 2000) (2000).

  8. D. Laird, Crusoe processor products and technology (January 2000) http://www.transmeta.com/press/download/pdf/laird.pdf

  9. J. Lorch and A.J. Smith, Improving dynamic voltage scaling algorithms with PACE, in: Proceedings of the ACM SIGMETRICS 2001 Conference (June 2001).

  10. T. Mudge, Power: A first class design constraint for future architectures, in: Proceedings of the 7th International Conference on High Performance Computing (HiPC 2000) (December 2000).

  11. T. Okuma, T. Ishihara and H. Yasuura, Real-time task scheduling for a variable voltage processor, in: Proceedings of the International Symposium on System Synthesis (November 1999).

  12. D.R. Olsen, Developing User Interfaces (Morgan Kaufmann, 1998).

  13. T. Pering, T. Burd and R. Brodersen, The simulation and evaluation of dynamic voltage scaling algorithms, in: Proceedings of International Symposium on Low Power Electronics and Design 1998 (June 1998) pp. 76–81.

  14. T. Pering, T. Burd and R. Brodersen, Voltage scheduling in the lpARM microprocessor system, in: Proceedings of the International Symposium on Low Power Electronics and Design 2000 (July 2000).

  15. Y. Shin and K. Choit, Power conscious fixed priority scheduling for hard real-time systems, in: Proceedings of the 36th Annual Design Automation Conference (1999).

  16. M. Weiser, B. Welch, A. Demers and S. Shenker, Scheduling for reduced CPU energy, in: Proceedings of the First Symposium of Operating Systems Design and Implementation (November 1994).

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Flautner, K., Reinhardt, S. & Mudge, T. Automatic Performance Setting for Dynamic Voltage Scaling. Wireless Networks 8, 507–520 (2002). https://doi.org/10.1023/A:1016546330128

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1016546330128

Navigation