Skip to main content
Log in

Abstract

In this paper, we describe a procedure for memory design and exploration for low power embedded systems. Our system consists of an instruction cache and a data cache on-chip, and a large memory off-chip. In the first step, we try to reduce the power consumption due to memory traffic by applying memory-optimizing transformations such as loop transformations. Next we use a memory exploration procedure to choose a cache configuration (cache size and line size) that satisfies the system requirements of area, number of cycles and energy consumption. We include energy in the performance metrics, since for different cache configurations, the variation in energy consumption is quite different from the variation in the number of cycles. The memory exploration procedure is very efficient since it exploits the trends in the cycles and energy characteristics to reduce the search space significantly.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. F. Catthoor, F. Franssen, S. Wuytack, L. Nachtergaele, and H. De Man, “Global Communication and Memory Optimizing Transformations for Low Power Signal Processing Systems, ” Workshop on VLSI Signal Processing, La Jolla, CA, Oct. 1994.

  2. F. Catthoor, S. Wuytack, E.D. Greef, F. Balasa, L. Nachtergaele, and A. Vandecappelle, Custom Memory Management Methodology—Exploration of Memory Organisation for Embedded Multimedia System Design, Kluwer Academic Publishers, June 1998.

  3. Wen-Tsong Shiue and Chaitali Chakrabarti, “Memory Design and Exploration for Low Power, Embedded Systems, ” IEEE Workshop on Signal Processing Systems: Design and Implementation, Taipei, Taiwan R.O.C., Oct. 1999.

  4. M. Kandemir, N. Vijaykrishnan, M.J. Irwin, and W. Ye, “Influence of Compiler Optimizations on System Power, ” 37 th IEEE/ACM Design Automation Conference, 2000, pp. 304–307.

  5. Wen-Tsong Shiue and Chaitali Charabarti, “Memory Explo-ration for Low Power, Embedded Systems, ” 36th IEEE/ACM Design Automation Conference, New Orleans, LA, June 1999, pp. 140–145.

  6. P.R. Panda, N.D. Dutt, and A. Nicolau, “Architectural Exploration and Optimization of Local Memory in Embedded Systems, ” International Symposium on System Synthesis, Antwerp, Sept. 1997.

  7. P.R. Panda, N.D. Dutt, and A. Nicolau, “Memory Data Organization for Improved Cache Performance in Embedded Processor Applications, ” ACM Transactions on Design Automation of Electronic Systems, vol. 2, no. 4, Oct. 1997.

  8. P.R. Panda, N.D. Dutt, and A. Nicolau, “Local Memory Exploration and Optimization in Embedded Systems, ” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 18, no. 1, Jan. 1999.

  9. S. Dutta, W. Wolf, and A. Wolfe, “A Methodology on Evaluate Memory Architecture Design Tradeoffs for Video Signal Processors, ” IEEE Transactions on Circuits and Systems for Video Technology, vol.8, no.1, Feb. 1998.

  10. D. Kirovski, C. Lee, M. Potkonjak, and W. Mangione-Smith, “Application-Driven Synthesis of Core-Based Systems, ” IEEE International Conference on Computer Aidded Design, 1997, pp. 104–107.

  11. Y. Li and J. Henkel, “A Framework for Estimating and Minimiz-ing Energy Dissipation of Embedded HW/SW Systems, ” 35 th IEEE/ACM Design Automation Conference, 1998, pp. 188–193.

  12. T. Givargis, J. Henkel, and F. Vahid, “Interface and Cache Power Exploration for Core-based Embedded System Design, ” IEEE International Conference on Computer Aidded Design, 1999.

  13. T. Simunic, L. Benini, and G. De Miceli, “Cycle-accurate Simulation of Energy Consumption in Embedded Systems, ” 36 th IEEE/ACM Design Automation Conference, 1999, pp. 867–872.

  14. W. Ye, N. Vijaykrishnan, M. Kandemir, and M.J. Irwin, “The Design and Use of SimplePower: A Cycle-accurate Energy Estimation Tool, ” 37th IEEE/ACM Design Automation Conference, 2000.

  15. D.F. Bacon, S.L. Graham, and O.J. Sharp, “Compiler Transformations for High-Performance Computing, ” ACM Computing Surveys, vol. 26, no. 4, Dec. 1994.

  16. M.E. Wolf and M.S. Lam, “A Loop Transformation Theory and An Algorithm to Maximize Parallelism, ” IEEE Transactions on Parallel and Distributed Systems, Oct. 1991, pp. 452–471.

  17. Michael Wolfe, High Performance Compiler for Parallel Computing, Redwood City, CA: Addison Wesley, 1996.

    Google Scholar 

  18. M.E. Wolf and M. Lam, “A Data Locality Optimizing Algorithm, ” Proceedings of the SIGPLAN'91 Conference on Programming Language Design and Implementation, June 1991, pp. 30–44.

  19. Utpal Banerjee, “Unimodular Transformations of Double Loops, ” in Proceedings of the 3rd Workshop on Languages and Compilers for Parallel Computing, Aug. 1990.

  20. V. Sarkar and R. Thekkath, “A General Framework for iteration-Reordering Loop Transformations (Technical Summary), ” in Proceedings of ACMSIGPLAN'92 Conference on Programming Language Design and Implementation, San Francisco, CA, June 1992, pp. 175–187.

  21. C. Lee, M. Potkonjak, and W.H. Mangione-Smith, “Media-bench: A Tool for Evaluating and Synthesizing Multimedia and Communications Systems, ” in Proceedings of the 30th International Symposium on Microarchitecture, 1997.

  22. T. Austin, D. Burger, and S. Keckler, “SimpleScalar Simulation Tools for Microprocessor and System Evaluation, ” http://www.simplescalar.org, 2000.

  23. Wen-Tsong Shiue, Sathish Udayanarayanan, and Chaitali Chakrabarti, “Data Memory Design and Exploration for Low Power Embedded Systems, ” Scheduled to be published in the ACMTransaction on Design Automation of Electronic Systems, April 2002.

  24. M.B. Kamble and K. Ghose, “Analytical Energy Dissipation Models for Low Power Caches, ” International Symposium on Low Power Electronics and Design, 1997.

  25. S.E. Wilton and N. Jouppi, “An Enhanced Access and Cycle Time Model for On-chip Caches, ” Digital Equipment Corporation Western Research Lab,Tech. Report 93/5, 1994.

  26. C. Su and A. Despain, “Cache Design Trade-offs for Power and Performance Optimization: A Case Study, ” International Symposium on Low Power Electronics and Design, 1995, pp. 63–68.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Shiue, WT., Chakrabarti, C. Memory Design and Exploration for Low Power, Embedded Systems. The Journal of VLSI Signal Processing-Systems for Signal, Image, and Video Technology 29, 167–178 (2001). https://doi.org/10.1023/A:1012227328646

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1012227328646

Navigation