Skip to main content
Log in

Substrate Noise Simulation Techniques for Analog-Digital Mixed LSI Design

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

Crosstalk from digital to analog circuits can be causative of operation fails in analog-digital mixed LSIs. This paper describes modeling techniques and simulation strategies of the substrate coupling noise. A macroscopic substrate noise model that expresses the noise as a function of logic state transition frequencies among digital blocks is proposed. A simulation system based on the model is implemented in the mixed signal simulation environment, where performance degradation of the 2nd order ΔΣADC coupled to digital noise sources is clearly simulated. These results indicate that the proposed behavioral modeling approach allows practicable full chip substrate noise simulation measures.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. D. K. Su, M. J. Loinaz, S. Masui, and B. A. Wooley, “Experimental results and modeling techniques for substrate noise in mixed–signal integrated circuits.” IEEE J. Solid-State Circuits, 28(4), pp. 420–430, April 1993.

    Google Scholar 

  2. N. K. Verghese, T. J. Schmerbeck, and D. J. Allstot, Simulation Techniques and Solutions for Mixed-Signal Coupling in Integrated Circuits. Kluwer Academic Publishers, 1995.

  3. T. Tsukada and K. M. Fukuda, “Approaches to Reducing digital–noise coupling in CMOS mixed–signal LSIs.” IEICE Trans. Fundamentals, E80-A(2), pp. 263–275, February 1997.

    Google Scholar 

  4. M. Ingels and M. S. J. Steyaert, “Design strategies and decoupling techniques for reducing the effects of electrical interference in mixed-mode ICs.” IEEE J. Solid-State Circuits, 32(7), pp. 1136–1141, July 1997.

    Google Scholar 

  5. K. Joardar, “A simple approarch to modeling cross-talk in integrated circuits.” IEEE J. Solid-State Circuits, 29(10), pp. 1212–1219, October 1994.

    Google Scholar 

  6. T. A. Johnson, R. W. Knepper, V. Marcello, and W. Wang, “Chip substrate resistance modeling technique for integrated circuit design.” IEEE Trans. on Comput-Aided Des. Integrated Circuits Syst., CAD-3(2), pp. 126–134, April 1984.

    Google Scholar 

  7. B. R. Stanisic, N. K. Verghese, R. A. Rutenbar, L. R. Carley, and D. J. Allstot, “Addressing substrate coupling in mixedmode ICs: simulation and power distribution synthesis.” IEEE J. Solid–State Circuits, 29(3), pp. 226–238, March 1994.

    Google Scholar 

  8. I. L. Wemple and A. T. Yang, “Integrated circuit substrate coupling models based on Voronoi tessellation.” IEEE Trans. Comput.-Aided Des, pp. 1459–1469, December 1995.

  9. K. H. Kwan, I. L. Wemple, and A. T. Yang, “Simulation and analysis of substrate coupling in realistically-large mixed-A/D circuits.” Symp. VLSI Circuits. Dig. Tech. Papers, pp. 184–185, June 1996.

  10. R. Gharpurey and R. G. Meyer, “Modeling and analysis of substrate coupling in integrated circuits.” IEEE J. Solid-State Circuits, 31(3), pp. 344–353, March 1996.

    Google Scholar 

  11. N. K. Verghese, D. J. Allstot and M. A. Wolfe, “Verification techniques for substrate coupling and their application to mixed–signal IC design.” IEEE J. Solid-State Circuits, 31(3), pp. 354–365, March 1996.

    Google Scholar 

  12. N. K. Verghese and D. J. Allstot, “Computer–aided design considerations for mixed-signal coupling in RF integrated circuits.” IEEE J. Solid-State Circuits, 33(3), pp. 314–323, March 1998.

    Google Scholar 

  13. M. K. Mayes and S. W. Chin, “All verilog mixed–signal simulator with analog behavioral and noise models.” Symp. VLSI Circuits. Dig. Tech. Papers, pp. 186–187, June 1996.

  14. M. Nagata and A. Iwata, “A macroscopic substrate noise model for full chip mixed–signal design verification.” Symp. VLSI Circuits Dig. Tech. Papers, pp. 37–38, June 1997.

  15. T. Blalack and B. A. Wooley, “The effects of switching noise on an oversampling A/D converter.” ISSCC Dig. of Tech. Papers, pp. 200–201, February 1995.

  16. K. M. Fukuda, T. Kikuchi, T. Matsuura, and M. Hotta, “Measurement of digital noise in mixed–signal integrated circuits.” IEEE J. Solid-State Circuits, 30(2), pp. 87–92, February 1995.

    Google Scholar 

  17. K. M. Fukuda, T. Anbo, T. Tsukada, T. Matsuura, and M. Hotta, “Voltage-comparator-based measurement of equivalently sampled substrate noise waveforms in mixed–signal integrated circuits.” IEEE J. Solid-State Circuits, 31(5), pp. 726–731, May 1996.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Nagata, M., Iwata, A. Substrate Noise Simulation Techniques for Analog-Digital Mixed LSI Design. Analog Integrated Circuits and Signal Processing 25, 209–217 (2000). https://doi.org/10.1023/A:1008361410971

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1008361410971

Navigation