1 Introduction

With the development of atomic and close-to-atomic-scale manufacturing (ACSM), the requirement of depositing thin films without defects and impurities for laminated structures is greatly improved. When the target film thickness approaches atomic scale, conventional thin-film techniques face great challenges [1]. Consequently, atomic layer deposition (ALD) has emerged as an important technique to deposit ultrathin films for various applications. ALD was popularly utilized as “atomic layer epitaxy” by Tuomo Suntola in the 1970s, which deposited ZnS films for flat panel displays. Few studies have involved epitaxial films such as silicon and GaAs; thus, the general name of ALD was used to describe this process until now [2].

ALD is a vapor deposition method; the ALD precursors and co-reactants are separated by sequential alternating pulses indicating self-limiting reactions [3]. Figure 1 shows the general process of ALD, which consists of cyclic surface reactions: (1) adsorption of precursor A; (2) inert gas to purge excess precursors and byproducts; (3) adsorption of precursor B; (4) repeated inert gas purging and then circulation to acquire layer-by-layer growth until the target film thickness is achieved. The adsorption process includes physisorption and chemisorption of the precursors, where the excess physisorbed materials could be eliminated by inert gas purging. Given the finite active surface sites, the surface reactions are limited in every cycle, which leads to self-limiting chemisorption reactions. In general, the temperature of the process is crucial for ALD reactions. The temperature range of the saturated ALD process is defined as the “ALD temperature window.” At lower temperatures, it may lead to inadequate reaction or precursor condensation. By contrast, at higher temperatures, the desorption or thermal decomposition of precursors may occur. That is, the self-limiting ALD reactions could only generate within the ALD temperature window [4].

Fig. 1
figure 1

General process, advantages, and applications of ALD. Reprinted with permission from Ref. [12], Copyright © 2008 American Chemical Society. Reprinted with permission from Ref. [13], Copyright © 2020 American Chemical Society

Based on its self-limiting characteristics, ALD could achieve atomic-level thickness that is only controlled by adjusting the number of growth cycles. In addition, the saturation process restricts the reaction of precursors to less than one atomic/molecular layer, which enables conformality on complex surfaces and structures. It also ensures the excellent step coverage and uniformity of thin films in a large area. Moreover, ALD has great tolerance to materials and processes. It can obtain a variety of thin films, including oxides, nitrides, fluorides, sulfides, pure metals, polymers, and mixed or doped materials [5,6,7]. Multilayers can even be deposited, and the properties of each layer can be customized at the atomic level, which provides more possibilities for ALD applications. Furthermore, the ALD process could be extended to large substrates and conducted into parallel processes, and it can be used for mass production in industry fields.

Given the above-mentioned advantages, ALD is utilized in several applications for industry and research. In this review, the advances of ALD will be discussed. These applications can be divided into four groups in this article (Fig. 1). First, ALD is considered as the key technique for the manufacturing of next-generation semiconductors, which meets the requirement of atomic-level control [8]. It has been utilized in the fabrication of integrated circuits (ICs) 2000 [9]. Subsequently, area-selective ALD is applied as a bottom-up method that enables self-aligned manufacturing. Second, ALD is applied in the pan-semiconductor industry. The self-limiting characteristic of ALD makes it a “soft deposition process,” which could avoid substrate damage compared with traditional sputtering [10, 11]. Third, coating of particles can be performed by ALD in the fields of environment and energy, which shows great potential in future applications. Fourth, ALD applications in other emerging fields have been illustrated. Finally, the perspective is presented to show the possible challenges and development trends of ALD.

2 ALD in the Semiconductor Industry

As the footstone of information technology, the semiconductor industry promotes the continuous downscaling of ICs [14]. From thousands of transistors to billions today, the gate length of transistors, which is a symbol of feature size, has evolved from 10 μm to 3 nm and even 1 nm in the future [15]. Nanodevices are facing the demand of feature size down to atomic scale, and the existing process and equipment are encountering grave difficulties. Thus, the increasing requirement of thin-film techniques in the semiconductor industry has driven the development of ALD [16].

2.1 ALD-Enabled IC Nanomanufacturing

In front-end-of-line (FEOL) ICs, the structure of field-effect transistor (FET) changes greatly (Fig. 2). With regard to the downscaling of transistors, the SiO2 dielectric gate layers become thinner, thereby causing increasing leakage current resulting from the quantum tunneling effect. Afterward, Intel launched the high-k metal gate structure with ALD HfO2 in 2007 [17,18,19]. The equivalent thickness of the gate is reduced by introducing high-k dielectric layers. In addition, ultrathin ALD HfO2 layers have reduced the leakage current simultaneously. Moreover, ALD ensures the quality in the interface between dielectric and metal gates to prevent the influence of interface defect charge.

Fig. 2
figure 2

Evolution of transistor density and gate length in ICs. Reprinted with permission from Ref. [20], Copyright © 2019 MDPI. Reprinted with permission from Ref. [23], Copyright © 2017 Elsevier Inc. Reprinted with permission from Ref. [25], Copyright © 2019 American Chemical Society

With the continuous shrinking of critical dimensions, new device structures are furtherly invented. As for FinFET, a 3D gate is used to replace planar grids, which improves device density and performance. 3D structures and devices are the main directions for chip manufacturing in the future [20]. Afterward, Intel proposed advanced processes such as self-aligned quadruple patterning (SAQP) to increase the Fin height and reduce the spacing between Fins by ALD [21, 22]. Then, Samsung and IBM started working on gate-all-around (GAA) tubes, in which each transistor consists of three stacked nanosheets surrounded by gate materials [23]. Using GAA-FET, stacked Si and Si-Ge layers could be achieved, thereby improving the performance and reducing the power consumption after 5-nm nodes [24]. The demand for deposition increases from high-k silicon Fins to stacked nanowires and nanosheets. The challenge settles in the conformal coating on three-dimensional and high-aspect-ratio structures. The conformal covering of high-k gate dielectric materials on complex surfaces could be achieved by ALD. With the development of GAA-FET from nanosheet to forksheet and even to complementary FET (CFET), the requirement of conformal coating achieved by ALD will increase. In addition, atomic layer etching (ALE) is an important technique to etch excess materials and clean defects or byproducts in the manufacturing of GAA-FET [26,27,28]. Similar to ALD, ALE provides self-limiting reactions during etching and leads to a layer-by-layer removal of materials at an atomic scale [29, 30]. Moreover, ALE could control the quantity of the interface and smoothen the line edge roughness. Atomic-scale systematic processes, including ALD, ALE, and cleaning, enable the manufacturing of high-precision nanodevices [31].

In the back end of line (BEOL), ALD also plays an important role in barrier layers or seed layers in through-silicon via (TSV) and metal contact/interconnect. Chips are built through layer-by-layer aligned strategies by photolithography [32]. In BEOL, several layers of conductive metal wires are connected by columnar metals [33]. High-density TSVs have been attracting considerable attention in large-scale integration and package [34]. At present, copper is mostly used as a conducting metal because of its excellent conductivity [35]. However, the resistance of BEOL Cu wire increases at smaller geometries because of its inherent bulk conductor resistivity and scattering effect at grain boundaries and surfaces. As the size of the conductor vias decreases to less than 10 nm, the proportion of the volume occupied by the metal liner/barrier layers and the seed layers increases; thus, the volume left for the conductive metal filling decreases. The above-mentioned problems result in slow performance and high power consumption of chips. Therefore, developing new processes to fill low-resistance materials in tiny vias is necessary. Considering the excellent step coverage of ALD, it could achieve conformal deposition at the trenches or vias. After depositing seed layers by ALD, vias could be filled by other high-volume manufacturing processes such as chemical vapor deposition or electroplating. The new fill technology is critical to maximize conductor volume and minimize interface resistance. New alternative materials such as Co, Ru, W, and Ir have also been developed for reducing resistance [36, 37]. The development of new metals and fill strategies ensures a great interface and defect-free wire filling.

2.2 Area-Selective ALD for Nanopatterning

Nanopatterning is an important step for semiconductor manufacturing. The main patterning process relies on photolithography, which has enabled the downscaling of features through light sources from deep ultraviolet to extreme ultraviolet [38, 39]. However, conventional top-down methods are facing challenges in advanced nodes. Edge placement error becomes the primary problem of aligned manufacturing (Fig. 2) [40]. It may cause shorting between metal vias and neighboring metal parts [41]. Moreover, the cost of EUV remarkably increases with the downscaling of devices. Consequently, complementary techniques are in great demand [42].

Bottom-up area-selective atomic layer deposition (AS-ALD) enables film growth on the desired area and could achieve self-aligned nanopatterning because of its addictive process (Fig. 3). It provides atomic-scale accuracy and streamlines the processing steps of the semiconductor industry. The origin of AS-ALD primarily refers to the differences in nucleation behavior of precursors and co-reactants on different substrates. In the presence of a nucleation discrepancy between the growth and non-growth areas, it could be exploited to achieve AS-ALD. The maximum number of cycles before the growth initials on the non-growth areas could be defined as the selective window. The selectivity of a process can be defined in two ways: comparing the selectivity for a specific thickness and comparing the thickness that could be achieved after setting a specific selectivity.

Fig. 3
figure 3

a Templated AS-ALD achieved by SAMs. Reprinted with permission from Ref. [43], Copyright © 2021 Springer Nature. b Templated AS-ALD achieved by SMIs. Reprinted with permission from Ref. [44], Copyright © 2018 American Chemical Society. c Inherent AS-ALD on metal/oxide substrates. Reprinted with permission from Ref. [45], Copyright © 2021 MDPI. d Inherent adsorption difference of metal oxides on different metal facets. Reprinted with permission from Ref. [46], Copyright © 2018 American Chemical Society. e Direct-write-assisted AS-ALD. Reprinted with permission from Ref. [47], Copyright © 2013 American Chemical Society. f ALD + etching process of AS-ALD. Reprinted with permission from Ref. [48], Copyright © 2019 American Chemical Society. g Substrate-bias-assisted AS-ALD. Reprinted with permission from Ref. [49], Copyright © 2021 American Chemical Society

After several cycles, selectivity may be reduced at a specific thickness, which will lead to unexpected deposition on the non-growth areas. To date, various approaches have been developed to extend the selective window (Fig. 3) [50, 51]. The major approaches include templated and inherent AS-ALD. Other supplementary approaches will also be illustrated in this study.

The template is used to modify surface chemistry and enhance the selectivity of AS-ALD. Template-assisted AS-ALD is based on the selective chemisorption of template molecules on the non-growth areas. The treated areas inhibit the adsorption of precursor molecules. Meanwhile, untreated surfaces can react normally. At present, the commonly used templates are self-assembled monolayers (SAMs) [52]. SAMs are amphiphilic molecules that consist of a long chain of carbon atoms. By implementing the liquid-phase method, substrates are immersed in SAMs solution for a period to achieve dense-packed adsorption. After the hydrophilic group bonded to specific surfaces, the hydrophobic groups of SAMs could be used to block the adsorption of the precursors. Subsequently, only the required surfaces can be deposited [53]. SAMs have achieved selective deposition of metal oxides [54,55,56,57,58], metals [43, 57, 59], and organic compounds [60]. As shown in Fig. 3a, the Pd/Pt core–shell nanoparticles are fabricated on the pinhole sites of SAMs [43]. Furthermore, vapor-phase SAMs have been developed, which can reduce the formation time of SAMs. By redosing the SAMs between several ALD cycles, the blocking ability can be improved [61]. AS-ALD based on small molecule inhibitors (SMIs) is another option for template-assisted AS-ALD. Unlike long-chain SAMs, SMIs can be introduced in the gas phase before each ALD cycle, and the adsorption of inhibitors in the non-growth areas can be repaired and can further improve the selectivity of AS-ALD [44, 62]. As illustrated in Fig. 3b, two Si precursors are used as inhibitors to achieve the AS-ALD of Pt or Ru [44]. The inhibitors could selectively adsorb on OH-terminated Si but not on H-terminated Si; thus, the deposition will only occur on H-terminated surfaces. This precursor-type inhibitor could be easily incorporated into the ALD process and could achieve selective passivation of OH-terminated Si. AS-ALD of SiO2 [62] and TiN [63] achieved by SMIs were also studied. SMIs are considered tools of AS-ALD for next-generation nanopatterning.

With the downscaling of devices, the critical dimension of nanostructures is shrinking synchronously. Given the increasing difficulty of finding a suitable template at the atomic level, inherent AS-ALD approaches are rapidly developing. Inherent AS-ALD primarily focuses on the differences of chemisorption energy between the growth area and the non-growth area. It is based on process control, which is highly related to the thermodynamic and kinetic mechanisms. The target thickness of inherent approaches is usually less than 10 nm, which is suitable for ultrathin-film applications. Inherent approaches could omit the process of removing the templates and further streamline the process steps. As mentioned previously, ALD reactions are sensitive to the surface chemical groups. Numerous studies have focused on the chemical characteristic between H-terminated, OH-terminated, and NH2-terminated surfaces [64,65,66,67]. The deposition rate is related to the main surface reaction between the substrates and precursors. Several studies have focused on the differences between oxides and metals. Kessels et al. utilized chemical dissociation adsorption of co-reactant O2 on noble metals such as Pt to achieve AS-ALD [68]. The result showed that the catalytic dissociation of the oxygen molecules on noble metal surfaces accelerated deposition, and no deposition was observed on SiO2 and Al2O3. As shown in Fig. 3c, Li et al. discovered that the selective growth of MnOx was achieved on Pt prior to SiO2 [45]. In addition, the initial nucleation rate was related to the electronegativity differences among the substrates. Furthermore, AS-ALD could achieve selective growth on specific crystal facets. As shown in Fig. 3d, the dissociation energy barriers on Pt facets are different, which enables the preferential adsorption of precursors. The AS-ALD of metal oxides on different facets of Pt has been confirmed by first-principle calculations combined with microkinetic methods [46]. The MCp2 (M = Fe, Co, Ni) precursors tend to deposit on edge sites and (111) facet, and this process is temperature dependent. The selective deposition on different facets could be utilized in nanoelectronics as well as environment and energy fields.

Additional approaches are identified to enhance the selectivity except for templated and inherent methods. As shown in Fig. 3e, the direct-write approach has achieved selective deposition of Pt on Pt seed layers prepared by electron beam-induced deposition (EBID) [47]. The direct-write approach is contrary to the surface passivation templated approach; the seed layers grown by EBID can be considered as a surface activation process [22]. The direct-write technique can create the desired patterns. However, the quality and yield of deposition material are relatively low when only the direct-write method is used for metal deposition. Therefore, subsequent ALD is needed to combine with EBID [69]. Except for surface pretreatment, the selective deposition could also be optimized by introducing etching steps after ALD. First, nucleation differences of ALD precursors on different substrates are used to form films with different thicknesses. Then, the etching or ALE process is introduced to remove the films deposited on the growth and non-growth areas. The growth rate on the growth area is always faster than that on the non-growth area; thus, the selective thickness is gradually improved after several ALD + etching cycles [70]. In the study of Vos et al. (Fig. 3f), the inherent selectivity of Ru precursor on Pt and SiO2 substrates was utilized, and then O2 plasma etching was introduced to enhance the selectivity [48]. As illustrated in Fig. 3g, the nucleation behavior could also be controlled by substrate bias during plasma-enhanced ALD (PE-ALD) [49]. Considering the precursor adsorption difference between the growth area and non-growth area, the plasma produced by radio frequency substrate bias removed the precursor molecules adsorbed on the non-growth area but not the species on the growth area. Thus, selective deposition of Al2O3 and AlN on SiO2 prior to Pt was achieved.

AS-ALD has shown great potential for self-aligned nanopatterning and industrial applications such as self-aligned via. More AS-ALD approaches are expected to be developed and applied to the semiconductor industry. These approaches are based on nucleation control, which is highly related to the main surface reaction. Therefore, understanding the interaction between precursors and substrates is important. Notably, no universal approach has been developed for AS-ALD, and every approach has its advantage and limitation. Therefore, AS-ALD should be selected or developed based on specific scenarios.

3 Emerging Applications of ALD

Applications in the semiconductor industry are the main driving force of ALD, and the demand for ALD equipment is increasing every year. ALD in the semiconductor industry primarily focuses on the fabrication of chips (FEOL and BEOL), memory, and other semiconductor components. In addition to IC manufacturing, ALD plays an increasingly important role in emerging fields, including pan-semiconductor, environment and energy, and other fields such as aerospace and biomedicine [4]. The representative applications and corresponding ALD materials, substrates, processes, and function/nanostructures in emerging fields are presented in Table 1. ALD is utilized for constructing passivation layers and surface functional layers to enhance the performance of devices, and it is developed to form nanostructures with multiple functions in catalyst and biomedicine to increase the efficiency of reactions.

Table 1 Emerging applications and innovations of ALD

3.1 ALD in Pan-Semiconductor

Pan-semiconductor devices, including photovoltaics and displays, are based on the generation or recombination of electron–hole pairs, respectively. The interfaces among different layers enormously affect carrier transportation, which will influence the efficiency and performance of pan-semiconductor devices [71]. Consequently, strategies to modify the interfaces of pan-semiconductor devices are in great demand. In addition, the functional layers of pan-semiconductor devices easily decompose, age, and fail in ambient environments such as light, heat, moisture, oxygen, and electric field. ALD could deposit conformal films with controlled atomic-scale thickness on complex surfaces. Therefore, ALD is widely used in the modification of interfaces, encapsulation of devices, and stabilization of quantum dots (QDs).

In addition, the equipment efficiency of ALD is in great demand in the pan-semiconductor industry. The spatial ALD (S-ALD) technique is applied in the production of solar cells and displays to achieve high-throughput and large-scale deposition [72]. The introduction of metal oxide layers could effectively improve the performance of solar cells and display devices, and S-ALD can be implemented into the production line with low cost and large deposition capacity [73]. Furthermore, pan-semiconductor devices are sensitive to high-temperature processes, which may lead to the damage or loss of functional layers. Consequently, PE-ALD and low-temperature ALD are usually utilized in the pan-semiconductor field because of their low-temperature deposition characteristic.

3.1.1 Photovoltaics

Applications of ALD in the photovoltaic industry is initially related to silicon wafer-based solar cell type. In reducing the surface recombination of solar cells, Al2O3 passivation layers have been deposited to passivate the defect states at rear silicon surfaces [10]. The inclusion of Al2O3 passivation layers has boosted the energy conversion efficiencies of solar cells. Furthermore, ALD plays a potential role in poly-Si passivation contact. By applying ALD Al2O3 layers, the passivation of p-type poly-Si/SiOx contacts to n-type c-Si could be improved [77]. ALD Al2O3 is a hydrogen source of SiOx for the chemical passivation of defects. The contact layers are sensitive to their thickness, which can also be precisely prepared by ALD. After introducing a thin TiO2 contact by ALD, the contact resistivity and absorption loss could be reduced [78]. In addition, on the top of poly-Si, transparent conductive oxides (TCOs) can be added by ALD. TCOs are commonly used for lateral electrical transport. High-mobility hydrogen-doped TCOs can be used in various configurations of solar cells [79,80,81]. Moreover, silicon surface passivation can be achieved by ALD TCOs. ZnO TCOs have been deposited by ALD as passivation layers on both n- and p-type c-Si surfaces [82]. Such TCOs have created a high-quality SiO2 interface layer and achieved perfect surface passivation.

Apart from silicon-based solar cells, perovskite solar cells have also received increasing interest. Silicon-perovskite tandem cells have shown excellent performance in conversion efficiency [83]. However, perovskite may be damaged under plasma conditions. As a soft deposition process, ALD was utilized in the preparation of SnO2 buffer layers to protect the electron contact of perovskite [84, 85]. Moreover, the hole contact of the perovskite top cell was implemented by ALD NiOx [86]. It could improve the power conversion efficiency of perovskite solar cells. Another application of ALD was to deposit an ultrathin Al2O3 film for passivating perovskite layers, which could enhance the efficiency and improve the stability of solar cells (Fig. 4a) [74, 87, 88]. The thickness of Al2O3 should be ultrathin to allow the tunneling of electrons, which could be achieved by controlling the thickness of ALD.

Fig. 4
figure 4

a Passivation layers by Al2O3 ALD in perovskite solar cells. Reprinted with permission from Ref. [74], Copyright © 2017 John Wiley & Sons, Inc. b ALD Al2O3 and spin-coated PDMS encapsulation layers. Reprinted with permission from Ref. [75], Copyright © 2021 John Wiley & Sons, Inc. c Buffer barrier by Al2O3 ALD in QD devices. Reprinted with permission from Ref. [76], Copyright © 2021 American Chemical Society

3.1.2 Display

In the display industry, organic light-emitting diode (OLED) and quantum light-emitting diode (QLED) have spontaneous luminance, wide angle, low power consumption, and high reaction rate. However, the light-emitting layers are easily eroded by water and oxygen during the process, which causes defects and reduces the service life [89]. Therefore, thin-film encapsulation (TFE) should be implemented on the basis of devices, which improves the water–oxygen barrier ability without affecting the luminescence performance [90, 91].

As traditional packaging methods cannot adapt to flexible devices, TFE is accomplished by ALD because of the high demand for film density, process compatibility, and stability problems. It can effectively solve the problems such as pinhole, stress release crack, and thermal failure aging during film packaging. Then, the service life and stability of OLED devices are greatly improved. The composite packaging structure could be designed by ALD. By combining PE-CVD with ALD, SiNx/Al2O3 packaging layers were prepared. The laminated film could reduce the pinhole density and extend the infiltration path of water and oxygen, thereby greatly improving the life of OLED [92]. The mechanical design can effectively prevent interface fracture of composite packaging layers and improve interface toughness. The ALD-based encapsulation structure also provides a good mechanical model for flexible OLED. As shown in Fig. 4b, flexible PDMS/Al2O3 nanolaminates have been developed to protect OLED from erosion caused by moisture [75]. The thickness of ALD Al2O3 and spin-coated PDMS sublayers is optimized to obtain distinct interfaces and great static mechanical stability.

Moreover, QDs have attracted considerable interest in the fields of optoelectronics and photovoltaics because of their continuously adjustable band gap and excellent luminescence performance. QDs can effectively improve the brightness and reality of display and adapt to the demand of mobile electronics and virtual reality. However, the existence of surface states and defect sites affects the quantum efficiency of photoluminescence. Similarly, QLED devices are often composed of multiple functional layers, which also restrict the luminescence efficiency and stability of QLED devices due to energy-level mismatch and ion migration. The role of ALD in QDs focuses on the passivation of surfaces and the preparation of functional layers.

Metal oxides are commonly used in the surface passivation of QDs by ALD, including Al2O3 and SiO2 [93,94,95,96]. For example, nanoscale Al2O3 films have been deposited on the surface of CsPbBr3 QDs and selectively passivated the defects on the surface of QDs. In addition, the stability of QDs is greatly improved without affecting the quantum luminescence efficiency [97]. ALD has a passivation effect on the defect sites of QD monomers, and it can also be used in the fabrication of buffer barriers in the interface of QD devices (Fig. 4c). The introduction of ALD Al2O3 layers could effectively block the migration of metal ions from the electrode to the functional layers [76]. Moreover, the Al2O3 layers improved the carrier balance of the QD device, thereby increasing the external quantum efficiency.

3.2 ALD in Environment and Energy

The applications of ALD in the environment and energy mostly correlate to the coating of nanoparticles, including energetic particles and catalysts. The energetic and catalytic nanoparticles suffer from instability. As a nanoscale method, ALD is utilized to coat ultrathin and complete films on these nanoparticles to enhance the stability without deteriorating the performance. Furthermore, fluidized bed ALD (FB-ALD) is an effective method for coating nanoparticles. The development of FB-ALD has shown its potential for scalable production of nanoparticles with an ultrathin and conformal layer [98]. It provides the ability to break the aggregates of clusters and enhance the heat and mass transfer rates between the gas and particle surfaces [99]. FB-ALD has been utilized in other emerging fields. The increasing throughput of FB-ALD will promote the industrial application of ALD in nanoparticles.

3.2.1 Energetic Particles

ALD-coated energetic particles include electrode particles and combustible particles. The electrode particles are primarily used in batteries. As for battery coating layers, a continuous ultrathin coating is needed to prevent the failure of cathode/anode materials and keep the transfer of electrons and ions [102]. The surface coating should also have good toughness to protect the pulverization of electrode materials caused by volume change during cycling [103]. For example, Li-ion batteries have a high-energy density, high output voltage, and superior cycling performance. They are widely used in electric vehicles and energy storage systems [104]. The stability and safety of Li-ion batteries are highly related to the solid electrolyte interphase (SEI) formed on the anode. SEI layers could improve the cycling performance of Li-ion batteries by preventing further decomposition of aqueous electrolytes. However, SEI layers also consume Li-ions because of the interfacial reactions, which leads to a decrease in coulombic efficiency. Al2O3 layers coated on SnO2 nanoparticles by ALD could greatly improve the cycling lifetime and coulombic efficiency [105]. In addition, ALD Al2O3 layers could be considered as artificial ionic conductive SEI layers, and such layers could prevent the direct contact between anode and electrolyte. Moreover, ALD could protect the battery from capacity fading during cycling by depositing thin-film AlF3 on LiMn1.5Ni0.5O4 cathode (Fig. 5a) [100]. It significantly improved the capacity retention and coulombic efficiency of the battery. This method can also be extended to other Li-ion cathode materials.

Fig. 5
figure 5

a Protection layers by AlF3 ALD on the Li-ion battery cathode material. Reprinted with permission from Ref. [100], Copyright © 2018 American Chemical Society. b Selective surface passivation of Pd catalysts by MnOx ALD. Reprinted with permission from Ref. [101], Copyright © 2020 Elsevier Inc

ALD has also been used in the stabilization of lithium-sulfur batteries. Lithium-sulfur batteries have a high-energy density and low cost. However, polysulfide dissolution primarily hinders the development of lithium-sulfur batteries, leading to cell overcharge and low coulombic efficiency. Consequently, PE-ALD is utilized to deposit thin films on sulfur electrodes [106]. The low-temperature characteristic of PE-ALD prevents the evaporation of sulfur during deposition. In addition, PE-ALD Al2O3 coatings enhance the cycle stability of sulfur electrodes for hundreds of cycles. Moreover, ALD Al2O3 could be used to inhibit sulfur nanoparticles from shuttling, which could enhance the sustainable capacity and coulombic efficiency of lithium-sulfur batteries [107].

The combustible particles coated by ALD are primarily adopted to high-energy propellants. Higher performance and lower hazard ratings are the goals for solid rocket propellants [108]. The improvement of propellant can be achieved by using highly reactive ingredients, but the use of such ingredients is limited by availability and security. Therefore, balancing the performance and stability of the propellant is necessary. Aluminum hydride (AlH3) is an alternative high-performance energy storage material, which has high gravimetric hydrogen capacity. However, it has not been adopted in many standard systems because of uncertainty about suitability. Hydrogen might be slowly released and might react with moisture and oxygen under ambient conditions during the storage of AlH3, which greatly increases the risk of explosion. Thus, Chen et al. coated amorphous Al2O3 films around the crystalline AlH3 particles, and the Al2O3 films served as physical barriers to prevent the reaction of hydrogen release [109]. The Al2O3 films were applied in thermal insulation, which reduced the potential risks during handling or transportation. The overall hydrogen capacity reduction was relatively small because of conformality and nanoscale thickness control. ALD is a feasible technique to stabilize solid rocket propellant during mixing, storage, and transportation without affecting its performance.

3.2.2 Catalyst

Catalysis is a critical technology in accelerating and guiding chemical reactions, which is highly related to the structure and active sites of catalysts [110]. Consequently, there is a great demand for precise control in nanostructures, active sites, and concentration of future catalyst synthesis. ALD has unique advantages in the atomic-level synthesis of advanced catalysts, which provide the strategies in establishing structure–activity relationships and enhancing efficiency [111]. The utilization of ALD to precisely fabricate nanostructures, nanoclusters, and single atoms is feasible. Furthermore, AS-ALD on nanoparticles can be applied for accurate passivation of specific facets because of the varied adsorption energy on different crystal facets. These methods can directly modify the particles on the nanometer scale and improve the activity and stability of catalysts. In addition, the growth of precursors on different substrates can be predicted by establishing a deposition model and analyzing the growth theory. The above-mentioned studies aim to achieve the precise control of the dispersion, composition, and structure of catalysts, which can improve noble metal utilization, activity, selectivity, and stability.

Several nanostructures have been applied in the design of catalyst, such as a core-shell structure [43, 112], discontinuous coating structure [101, 113, 114], and embedded structure [115, 116]. These nanostructures could be utilized to passivate the specific facets and enhance the performance of catalysts. For example, Pd (111) was selectively passivated by discontinuous MnOx ALD (Fig. 5b). It can passivate the dehydroxylation of benzyl alcohol and eliminate the formation of toluene and benzene. It can also improve the selectivity of the Pd/Al2O3 catalyst for the oxidation of benzyl alcohol to improve the yield of benzaldehyde [101].

The conformal deposition characteristic of ALD enables the deposition of three-dimensional structures in the synthesis of metal-organic frameworks (MOF) [117]. ZnO nanomembrane was deposited onto carbon foam to induce a solvothermal process, which constructs a unique structure with a large surface area and great flexibility [118]. Apart from their applications in catalysis, MOF-based materials can also be used in electrocatalyst and flexible devices, such as supercapacitors [119, 120].

Moreover, sub-nanoclusters and single-atom catalysts are developed to minimize metal atom fraction during heterogeneous catalysis reactions by ALD. For example, the isolated single-metal atoms are fabricated on different supports by ALD, which show effectively enhanced catalytic activity [121,122,123,124]. Furthermore, ALD can be used to control the interfacial structures of supported nanoparticles and sub-nanoclusters, which could control the concentration or size distribution of catalysts [116, 125, 126]. Liu et al. applied redox-coupled ALD to control the size of deposited Pt catalysts [125]. The Cu doping concentration near the Pt cluster was high, which can improve the activity of the composite catalyst.

3.3 ALD in Other Emerging Fields

In addition to the above-mentioned applications, ALD can be used to deposit functional layers on specific surfaces, such as thermal barriers and anti-corrosion layers. Moreover, the separated process of ALD can be modified to achieve efficient doping by avoiding dopant clustering in the fabrication of films [7]. The ALD coating is practical for some specific scenarios, such as aerospace and biomedicine. Based on ALD characteristics, the applications will be continuously developed.

3.3.1 Aerospace

Aerospace functional coatings have long faced challenges such as fall off, corrosion, and wear. Developing advanced ALD coatings is conducive to breaking through the typical bottleneck in the aerospace field. Various aerospace functional coatings are prepared by ALD, such as thermal barrier coating for gas turbines and anti-corrosion coating. A gas turbine is the key component of aircraft propulsion, and thermal barrier coatings are prepared to keep inlet temperature [129]. In the preparation of thermal barrier coating, keeping the density and adhesion of thermal barrier coating is the key to maintaining the thermal insulation performance. Yttria-stabilized zirconia (YSZ) films prepared by ALD have low thermal conductivity and are insensitive to film thickness. The thermal conductivity of YSZ films prepared by ALD was lower than that prepared by EB-PVD, and its thermal barrier property was better [130]. The anti-corrosion coating is served for aircraft body materials. Considering that the space shuttle is served in a harsh atmosphere for a long time, strong ultraviolet radiation and oxygen corrosion will greatly shorten the effective life of space coating. Hard anti-corrosion coating can effectively resist external erosion and prolong the service life. Anti-corrosion coating deposited by ALD could effectively resist UV radiation, improve the hardness of body material, and slow down the corrosion rate of atomic oxygen infiltration. Increasing the coating thickness of ZnO could improve its electrochemical properties and then enhance its anti-corrosion performance [131]. Furthermore, some potential functional coatings can be prepared by ALD in the aerospace field, such as anti-icing coating and microwave-absorbing coating [132,133,134]. After NiO ALD followed by an acetylene pyrolysis process, NiO nanoparticles could be uniformly deposited onto the surface of graphene, and then two different forms of carbon could be fabricated. Carbon nano-onion and carbon nanotube structures were obtained by ALD, which could be applied as lightweight and low-frequency microwave absorbers (Fig. 6a) [127]. Consequently, ALD is a potential technique in the fabrication of thin-coat and low-cost microwave absorbers.

Fig. 6
figure 6

a Uniform deposition by ALD in microwave absorption coating. Reprinted with permission from Ref. [127], Copyright © 2019 Elsevier B.V. b Core/shell structure by ALD in drug delivery system. Reprinted with permission from Ref. [128], Copyright © 2021 The Authors. Published by American Chemical Society

3.3.2 Biomedicine

With the rapid development of nanotechnology, medical methods of detection, diagnosis, and treatment have entered a new era, and these technologies cannot be separated from the progress of medical devices. A range of new medical technologies, such as micro/nanoscopic robots, has been developed at present, which will be used in the field of medical devices in the future. The application of micro/nanorobots in precision medicine provides a higher demand for nanomanufacturing and sensing technology [135]. To date, ALD technology has shown its great ability in the fabrication of biomedical devices. For example, Miskin et al. have used ALD to construct the legs of micro/nanorobots by using Pt strips with only a few dozen atoms capped on one side by a thin layer of inert titanium [136]. Moreover, ALD could be utilized in the enhancement of biosensors based on modification and passivation of the functional layers. A core/shell structure was made by ALD to sustain the release of budesonide in drug delivery. By implementing ceramic ALD films such as SiO2, TiO2, and Al2O3, the fine particle fraction of budesonide highly proceeded, which represents the high drug loading and cell viability (Fig. 6b) [128]. The as-deposited ceramic films showed no toxicity in the ambient of human cells. ALD has been proven to be an effective method to fabricate drug delivery systems. Furthermore, ALD has also been applied in the coating of sensor fabrication. Duan et al. formed uniform Al2O3 passivation layers on Fe3O4 nanoparticles; such layers could effectively protect magnetic nanoparticles from oxidation and maintain saturation magnetization [137]. This good preservation of magnetic properties with superior oxidation resistance could improve magnetic resonance imaging. Zhang et al. used ALD to deposit an ultrathin CoO layer on a nanoporous gold film [138]. The synergistic effect of Au and CoO at the interfaces achieved high catalytic activity for glucose oxidation and H2O2 reduction. It enhanced the sensitivity of H2O2 reduction, which could be utilized in exhaled gas detection. Moreover, the integration of MOF could be applied in the fabrication of sensor devices to form a functional composite by ZnO ALD [139]. The hierarchically porous structure of MOF films results in a large surface area, which provides a strategy to enhance the sensitivity of devices [140].

Notably, ALD is applied in orthopedic implants. For example, ALD is used for surface modification of polyetheretherketone (PEEK) [141]. After conformal TiO2 thin films were deposited on the PEEK substrate, the biocompatibility was significantly improved [142]. A further study reported that titanium-coated surfaces could improve osseointegration compared with uncoated PEEK surfaces [143]. Given the tolerance of various deposited materials, ALD could deposit more varieties of materials, which could enhance the robustness, biocompatibility, and osseointegration of future orthopedic implant materials.

4 Conclusions and Perspective

In this article, the characteristics and applications of ALD are primarily introduced. With the development of ACSM, the demand for high-accuracy deposition techniques has never been higher [144]. Optimized ALD processes could fabricate complex nanostructures and enhance the performance of devices. Thus, ALD has been widely used in semiconductors, pan-semiconductors, environment and energy, and other emerging fields, which gradually becomes an integral part of future intelligent manufacturing.

ALD has several advantages that enable its applications. These applications encompass different characteristics. In the semiconductor industry, the evolution of nanostructures such as GAA-FET provides high-performance devices and requires high-accuracy fabrication. ALD is considered the key technique for next-generation IC manufacturing. Furthermore, GAA-FET and TSV are the main driving force for ALD. Considering the continuous scaling down of devices, the implementation of atomic-scale fabrication must use bottom-up AS-ALD. AS-ALD is a remarkable innovation for ALD. Given its self-aligned characteristics, AS-ALD can be utilized in pattern transfer and high-density fabrication of the semiconductor industry. However, AS-ALD must enhance its nucleation control to extend the selectivity window. Novel strategies are required to expand the AS-ALD toolbox and increase the stability of the process.

In the pan-semiconductor field, ALD is suitable for engineering the surface and tailoring the properties of interfaces. For example, ALD has been utilized to deposit passivation layers, which could effectively enhance the performance and stability of pan-semiconductor devices. It focuses on how to fabricate high-quality films without damaging the functional layers during deposition. As the thickness of passivation layers decreases, the barrier performance must be optimized by designing the passivation structures using materials with optical, mechanical, and electrical properties. The deposition must also be considered because the devices are sensitive to high temperatures and precursors. PE-ALD has been utilized to reduce the deposition temperature and extend the range of materials that can be used, and new processes can also be proposed, such as atomic layer infiltration. Moreover, ALD is used to form functional layers and balance the carriers on the interface, which could enhance the efficiency of pan-semiconductor devices. The optimal role and thickness of ALD functional layers still need to be evaluated in accordance with the simulation of interface engineering.

In the environment and energy field, ALD has shown its ability in the coating and functionalization of nanoparticles. It could enhance the performance of energetic particles, increase the activity, selectivity, and stability of catalysts, and modify the concentration of nanoparticles. Considering the large specific surface area, the coating of nanoparticles focuses on high uniformity, which is related to precursor diffusion and reaction on the surface of nanoparticles. Developing suitable precursors using organic chemistries is important. In addressing the aggregation of nanoparticles, more external forces have to be applied to increase the fluidization quality during coating. By contrast, in the modification of catalyst nanoparticles, the fabrication accuracy of ALD caused by small particle size must be considered. It will be more dependent on atomic-level techniques such as AS-ALD approaches. AS-ALD can modify points, lines, sheets, and complex nanostructures, thereby achieving atomic-scale accuracy.

The growth mechanism of ALD needs further study to keep in step with ACSM. At the initial stage of deposition, considering the nucleation behavior on different surfaces is essential. During the deposition of thin films, the process parameters, including temperature, pressure, and gas flow, must be optimized. In addition, there is an increasing demand for in-situ characterization methods, which provide more information on the nucleation behavior and mechanism of deposition. Moreover, precursor selection must be considered by theoretical calculation, including reactivity, steric hindrance, and polarization. For example, using high activity and quick diffusion precursors in the coating of nanoparticles is important because of the large surface areas. However, in AS-ALD, the activity of precursors should be relatively low to retain a large growth difference in the growth and non-growth areas. The combination of the above-mentioned characteristics has a strong effect on the quality of films, such as surface density, impurity, roughness, and stress.

Equipment of ALD also needs great advance. First, the deposition rate of ALD is relatively low because of its self-limiting reactions. To date, the innovation in equipment powers the mass production of ALD. For example, S-ALD offers the ability of fast and large-scale ultrathin films deposition. FB-ALD facilitates the precursor delivery and intensifies the dynamic dismantling of soft agglomerates in the coating of nanoparticles, which ensures the consistency of production. However, there is a compromise between the throughput and accuracy. By multiscale modeling and simulation approaches, the optimization and scaling up of existing ALD equipment could proceed, which could enhance the efficiency of production [145]. Second, the design of novel ALD equipment requires further development. Except for the mechanical engineering of ALD reactors, the introduction of external fields such as electric field, ultrasonic field, and thermal field may increase the ability of growth behavior control and high-quality film fabrication.

The evolution of the ALD technique should also consider the diversification in the integration of novel technologies. ALD has provided the technological driving force in ACSM, and novel methods could be integrated with ALD, such as machine learning to achieve cross-scale manufacturing. ALD plays different roles in various applications, which are based on the properties of the as-deposited materials. Consequently, introducing novel materials is important to continuously develop ALD. The introduction of novel materials needs the exploration of ALD precursors. New materials are fabricated by ALD, such as two-dimensional materials, carbon materials, and ferroelectrics. As novel materials are introduced into the ALD process, the application range of ALD will continuously increase to build high-value systems.