Skip to main content

Advertisement

Log in

Achievement of Green and Sustainable CVD Through Process, Equipment and Systematic Optimization in Semiconductor Fabrication

  • Review
  • Published:
International Journal of Precision Engineering and Manufacturing-Green Technology Aims and scope Submit manuscript

A Correction to this article was published on 18 April 2024

This article has been updated

Abstract

This review paper aims to outline methods and applications of green chemistry and sustainable engineering in chemical vapor deposition (CVD) for semiconductor mass production termed as green CVD. The method includes: sustainable chemical processes, efficient equipment designs and hibernation operation. Sustainable chemical process involved 40% reduction of diisopropylamino silane (DIPAS) with saturation time optimization, reduction of 20% with divert-less ALD and 60% with hybrid ALD methods. Polysilazane reduction by 29% in DRAM process via new dispense rotation mechanism. Reduction in greenhouse gases of nitrogen trifluoride (NF3) by 27% and 25% with ramping down method and N2 additive gas incorporation respectively. Nitrous oxide reduction of 67% ca. 23.6 kt CO2 from year 2020 to 2022 with recipe modification. Efficient equipment design methods via systematic and safe precursor retrieval with solvent development with improved abatement and waste gas treatment. Hibernation operation system is forecasted to save up to 15% in cost due to electrical and chemical consumption reduction in collaboration with major semiconductor equipment companies.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23

Similar content being viewed by others

Data availability

The datasets used and/or analyzed during the current study is available from the corresponding author on reasonable request.

Change history

  • 23 April 2024

    The email address of author Chulhwan Choi has been corrected. In addition, section 2.3 has been deleted and the following sections have been renumbered

  • 18 April 2024

    A Correction to this paper has been published: https://doi.org/10.1007/s40684-024-00631-x

References

  1. Major semiconductor producing countries rely on each other for different types of chips | PIIE. (2022). https://www.piie.com/research/piie-charts/major-semiconductor-producing-countries-rely-each-other-different-types-chips. Accessed 31 Oct 2022

  2. Micron Technology, Inc. (2022). Reports Results for the Fourth Quarter and Full Year of Fiscal 2022 | Micron Technology

  3. Samsung Electronics Announces Fourth Quarter and FY 2022 Results. (2023). https://news.samsung.com/global/samsung-electronics-announces-fourth-quarter-and-fy-2022-results. Accessed 31 Jan 2023

  4. SK Hynix Reports 2022 and Fourth Quarter Financial Results. (2023). https://news.skhynix.com/sk-hynix-reports-2022-and-fourth-quarter-financial-results/. Accessed 31 Jan 2023

  5. TSMC Reports Fourth Quarter EPS of NT$11.41. (2023). http://pr.tsmc.com/english/news/2992. Accessed 12 Jan 2023

  6. Burkacky, O., Dragon, J., &, Lehmann, N. (2022). The semiconductor decade: A trillion-dollar industry. McKinsey Report (pp. 1–3).

  7. Casanova, R. (2022). The CHIPS Act Has Already Sparked $200 Billion in Private Investments for U.S. Semiconductor Production. Semiconductor Industry Association https://www.semiconductors.org/the-chips-act-has-already-sparked-200-billion-in-private-investments-for-u-s-semiconductor-production/. Accessed 17 May 2024

  8. Establishment of the ‘K-Semiconductor Strategy’ for the Realization of a Comprehensive Semiconductor Powerhouse. (2021). http://www.motie.go.kr/motie/ne/presse/press2/bbs/bbsView.do?bbs_seq_n=164098&bbs_cd_n=81&currentPage=1&search_key_n=&cate_n=&dept_v=&search_val_v=. Accessed 13 May 2023

  9. A short introduction to semiconductor fabrication. (2022). Samsung Semiconductor EMEA https://semiconductor.samsung.com/emea/news-events/tech-blog/a-short-introduction-to-semiconductor-fabrication. Accessed 17 July 2023

  10. Nguyen, S. V. (1999). High-density plasma chemical vapor deposition of silicon-based dielectric films for integrated circuits. IBM Journal of Research and Development, 43, 109–126.

    Article  Google Scholar 

  11. Cote, D. R., et al. (1999). Plasma-assisted chemical vapor deposition of dielectric thin films for ULSI semiconductor circuits. IBM Journal of Research and Development, 43, 5–38.

    Article  Google Scholar 

  12. Lewis, D. J., & O’Brien, P. (2014). Ambient pressure aerosol-assisted chemical vapour deposition of (CH3NH3)PbBr 3, an inorganic–organic perovskite important in photovoltaics. Chemical Communications, 50, 6319–6321.

    Article  Google Scholar 

  13. Ooyama, Y., Shimada, Y., Kagawa, Y., Imae, I., & Harima, Y. (2007). Photovoltaic performance of dye-sensitized solar cells based on donor–acceptor π-conjugated benzofuro[2,3-c]oxazolo[4,5-a]carbazole-type fluorescent dyes with a carboxyl group at different positions of the chromophore skeleton. Organic & Biomolecular Chemistry, 5, 2046–2054.

    Article  Google Scholar 

  14. Choi, K., et al. (2013). Direct imprinting of MoS2 flakes on a patterned gate for nanosheet transistors. Journal of Materials Chemistry C, 1, 7803–7807.

    Article  Google Scholar 

  15. Cairns, D. R., Paine, D. C., & Crawford, G. P. (2001). The mechanical reliability of sputter-coated indium tin oxide polyester substrates for flexible display and touchscreen applications. MRS Online Proceedings Library (OPL), 666, F3.24.

    Article  Google Scholar 

  16. Powell, M. J., et al. (2018). Phosphorus doped SnO2 thin films for transparent conducting oxide applications: Synthesis, optoelectronic properties and computational models. Chemical Science, 9, 7968–7980.

    Article  Google Scholar 

  17. Mahajan, A. M., Patil, L. S., Bange, J. P., & Gautam, D. K. (2004). Growth of SiO2 films by TEOS-PECVD system for microelectronics applications. Surface and Coatings Technology, 183, 295–300.

    Article  Google Scholar 

  18. Bil, A. S., & Alexandrov, S. E. (2022). The effect of the process parameters on the composition and properties of silica-like films deposited by atmospheric pressure PECVD in the system TEOS-He-O2. Plasma Chemistry and Plasma Processing, 42, 1345–1360.

    Article  Google Scholar 

  19. Putkonen, M., et al. (2014). Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors. Thin Solid Films, 558, 93–98.

    Article  Google Scholar 

  20. Ng, D. K. T., et al. (2022). Enhanced photonics devices based on low temperature plasma-deposited dichlorosilane-based ultra-silicon-rich nitride (Si8N). Science and Reports, 12, 5267.

    Article  Google Scholar 

  21. Beliaev, LYu., Shkondin, E., Lavrinenko, A. V., & Takayama, O. (2022). Optical, structural and composition properties of silicon nitride films deposited by reactive radio-frequency sputtering, low pressure and plasma-enhanced chemical vapor deposition. Thin Solid Films, 763, 139568.

    Article  Google Scholar 

  22. Ahammou, B. et al. (2022). PECVD Silicon Nitride-Based Multilayers with Optimized Mechanical Properties. In: Meet. Abstr. MA2022–01, 1052.

  23. Catena, A., et al. (2016). Amorphous hydrogenated carbon (a-C:H) depositions on polyoxymethylene: Substrate influence on the characteristics of the developing coatings. Surface and Coatings Technology, 307, 658–665.

    Article  Google Scholar 

  24. Jacobsohn, L. G., Franceschini, D. F., & Freire, F. L. (1997). Hydrogenated carbon-nitrogen films obtained by PECVD using acetylene and nitrogen as precursor gases. MRS Online Proceedings Library, 498, 283–288.

    Article  Google Scholar 

  25. Camero, M., Gordillo-Vázquez, F. J., & Gómez-Aleixandre, C. (2007). Low-pressure PECVD of nanoparticles in carbon thin films from Ar/H2/C2H2 plasmas: Synthesis of films and analysis of the electron energy distribution function. Chemical Vapor Deposition, 13, 326–334.

    Article  Google Scholar 

  26. Zhou, J., et al. (2022). Effects of process parameters and chamber structure on plasma uniformity in a large-area capacitively coupled discharge. Vacuum, 195, 110678.

    Article  Google Scholar 

  27. Engelhardt, J., Hahn, G., & Terheiden, B. (2015). Multifunctional ICP-PECVD silicon nitride layers for high-efficiency silicon solar cell applications. Energy Procedia, 77, 786–790.

    Article  Google Scholar 

  28. Hamui, L., et al. (2016). Effect of deposition temperature on polymorphous silicon thin films by PECVD: Role of hydrogen. Materials Science in Semiconductor Processing, 41, 390–397.

    Article  Google Scholar 

  29. Huang, H., et al. (2006). Effect of deposition conditions on mechanical properties of low-temperature PECVD silicon nitride films. Materials Science and Engineering: A, 435–436, 453–459.

    Article  Google Scholar 

  30. Liu, Y., Jehanathan, N., & Dell, J. (2011). Thermally induced damages of PECVD SiNx thin films. Journal of Materials Research, 26, 2552–2557.

    Article  Google Scholar 

  31. Kwon, S., et al. (2020). Effect of plasma power on properties of hydrogenated amorphous silicon carbide hardmask films deposited by PECVD. Vacuum, 174, 109187.

    Article  Google Scholar 

  32. Jang, W., et al. (2015). The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer. Physica Status Solidi (a), 212, 2785–2790.

    Article  Google Scholar 

  33. Abdelal, A., Khatami, Z., & Mascher, P. (2023). A Comparative study of a:SiCN: H thin films fabricated with acetylene and methane. ECS J. Solid State Sci. Technol., 12, 013002.

    Article  Google Scholar 

  34. Nam, T., et al. (2019). Low-temperature, high-growth-rate ALD of SiO2 using aminodisilane precursor. Applied Surface Science, 485, 381–390.

    Article  Google Scholar 

  35. Gosar, Ž, et al. (2020). PECVD of Hexamethyldisiloxane Coatings Using Extremely Asymmetric Capacitive RF Discharge. Materials (Basel), 13, 2147.

    Article  Google Scholar 

  36. van Elp, J., Giesen, P. T. M., & de Groof, A. M. M. (2004). Low-thermal expansion electrostatic chuck materials and clamp mechanisms in vacuum and air. Microelectronic Engineering, 73–74, 941–947.

    Google Scholar 

  37. Peterson, R. J. (2016). Literature review of spin on glass. Los Alamos National Laboratory. https://doi.org/10.2172/1240802

  38. Semiconductor - Spin on Hardmask (SOH) | Samsung SDI. https://www.samsungsdi.com/electronic-materials/semiconductor/soh-spin-on-hardmask.html. Accessed 8 Aug 2023

  39. Zantye, P. B., Kumar, A., & Sikder, A. K. (2004). Chemical mechanical planarization for microelectronics applications. Materials Science and Engineering: R: Reports, 45, 89–220.

    Article  Google Scholar 

  40. Coleman, R. (1991). Particulate and defect reduction strategies for semiconductor devices: Tools and methodologies. In K. L. Mittal (Ed.), Particles on surfaces 3: Detection, adhesion, and removal (pp. 203–215). Boston: Springer US. https://doi.org/10.1007/978-1-4899-2367-7_16

    Chapter  Google Scholar 

  41. O’Leary, J., Sawlani, K., & Mesbah, A. (2020). Deep learning for classification of the chemical composition of particle defects on semiconductor wafers. IEEE Transactions on Semiconductor Manufacturing, 33, 72–85.

    Article  Google Scholar 

  42. Who Cares Wins: Connecting Financial Markets to a Changing World. (2004). https://www.unepfi.org/fileadmin/events/2004/stocks/who_cares_wins_global_compact_2004.pdf. Accessed 15 June 2023

  43. Eccles, R. G. From “Who Cares Wins” to pernicious progressivism: 18 Years Of ESG. Forbes https://www.forbes.com/sites/bobeccles/2022/11/05/from-who-cares-wins-to-pernicious-progressivism-18-years-of-esg/. Accessed 15 June 2023

  44. Anastas, P., & Eghbali, N. (2009). Green chemistry: Principles and practice. Chemical Society Reviews, 39, 301–312.

    Article  Google Scholar 

  45. Sustainable chemistry-OECD. https://www.oecd.org/chemicalsafety/risk-management/sustainable-chemistry/. Accessed 15 June 2023

  46. Heinrichs, H., Martens, P. G., & Wiek, A. (2015). Sustainability science. Springer.

    Google Scholar 

  47. Cavani, F., Gabriele, C., Perathoner, S., & Trifiro, F. (2009). Sustainable industrial chemistry: Principles, tools and industrial examples. Wiley.

    Book  Google Scholar 

  48. Pedersen, H., Barry, S. T., & Sundqvist, J. (2021). Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition. Journal of Vacuum Science & Technology A, 39, 051001.

    Article  Google Scholar 

  49. Yun, H., Kim, E., Kim, D. M., Park, H. W., & Jun, M.B.-G. (2023). Machine learning for object recognition in manufacturing applications. International Journal of Precision Engineering and Manufacturing, 24, 683–712.

    Article  Google Scholar 

  50. Kim, S. W., Kong, J. H., Lee, S. W., & Lee, S. (2022). Recent advances of artificial intelligence in manufacturing industrial sectors: A review. International Journal of Precision Engineering and Manufacturing, 23, 111–129.

    Article  Google Scholar 

  51. Knoops, H. C. M., Faraz, T., Arts, K., & Kessels, W. M. M. (2019). Status and prospects of plasma-assisted atomic layer deposition. Journal of Vacuum Science & Technology A, 37, 030902.

    Article  Google Scholar 

  52. Profijt, H. B., Potts, S. E., van de Sanden, M. C. M., & Kessels, W. M. M. (2011). Plasma-assisted atomic layer deposition: Basics, opportunities, and challenges. Journal of Vacuum Science & Technology A, 29, 050801.

    Article  Google Scholar 

  53. Oke, J. A., & Jen, T.-C. (2022). Atomic layer deposition and other thin film deposition techniques: From principles to film properties. Journal of Materials Research and Technology, 21, 2481–2514.

    Article  Google Scholar 

  54. Zhao, M.-J., et al. (2021). Properties and mechanism of PEALD-In2O3 thin films prepared by different precursor reaction energy. Nanomaterials, 11, 978.

    Article  Google Scholar 

  55. Macco, B., Wu, Y., Vanhemel, D., & Kessels, W. M. M. (2014). High mobility In2O3: H transparent conductive oxides prepared by atomic layer deposition and solid phase crystallization. Physica Status Solidi (RRL)-Rapid Research Letters, 8, 987–990.

    Article  Google Scholar 

  56. Cho, M. H., Choi, C. H., & Jeong, J. K. (2022). Recent progress and perspectives on atomic-layer-deposited semiconducting oxides for transistor applications. Journal of the Society for Information Display, 30, 175–197.

    Article  Google Scholar 

  57. Han, L., Hsieh, C.-T., Mallick, B. C., Li, J., & Gandomi, Y. A. (2021). Recent progress and future prospects of atomic layer deposition to prepare/modify solid-state electrolytes and interfaces between electrodes for next-generation lithium batteries. Nanoscale Adv., 3, 2728–2740.

    Article  Google Scholar 

  58. Karimzadeh, S., Safaei, B., Yuan, C., & Jen, T.-C. (2023). Emerging atomic layer deposition for the development of high-performance lithium-ion batteries. Electrochem. Energy Rev., 6, 24.

    Article  Google Scholar 

  59. Go, D., et al. (2023). Atomic layer deposition for thin film solid-state battery and capacitor. International Journal of Precision Engineering and Manufacturing-Green Technology, 10, 851–873.

    Article  Google Scholar 

  60. Shin, D., Kim, J., & Lee, C. S. (2023). Evaluation of V2O5 film-based electrochromic device with dry-deposited ion storage layer. International Journal of Precision Engineering and Manufacturing., 24, 119–128. https://doi.org/10.1007/s12541-022-00731-1.

    Article  Google Scholar 

  61. Lee, Y., Seo, S., Oh, I.-K., Lee, S., & Kim, H. (2019). Effects of O2 plasma treatment on moisture barrier properties of SiO2 grown by plasma-enhanced atomic layer deposition. Ceramics International, 45, 17662–17668.

    Article  Google Scholar 

  62. O’Neill, M. L., et al. (2011). Impact of aminosilane precursor structure on silicon oxides by atomic layer deposition. Electrochemical Society Interface, 20, 33.

    Article  Google Scholar 

  63. Wang, E., & Yuan, C. (2014). A hybrid life cycle assessment of atomic layer deposition process. Journal of Cleaner Production, 74, 145–154.

    Article  Google Scholar 

  64. Oviroh, P. O., Akbarzadeh, R., Pan, D., Coetzee, R. A. M., & Jen, T.-C. (2019). New development of atomic layer deposition: Processes, methods and applications. Science and Technology of Advanced Materials, 20, 465–496.

    Article  Google Scholar 

  65. Weber, M., et al. (2023). Assessing the environmental impact of atomic layer deposition (ALD) processes and pathways to lower it. ACS Materials Au. https://doi.org/10.1021/acsmaterialsau.3c00002

    Article  Google Scholar 

  66. Huang, L., Han, B., Fan, M., & Cheng, H. (2017). Design of efficient mono-aminosilane precursors for atomic layer deposition of SiO2 thin films. RSC Advances, 7, 22672–22678.

    Article  Google Scholar 

  67. Byun, J. Y., et al. (2020). Characteristics of silicon nitride deposited by very high frequency (162 MHz)-plasma enhanced atomic layer deposition using bis(diethylamino)silane. Nanotechnology, 32, 075706.

    Article  Google Scholar 

  68. Mackus, A. J. M., Bol, A. A., & Kessels, W. M. M. (2014). The use of atomic layer deposition in advanced nanopatterning. Nanoscale, 6, 10941–10960.

    Article  Google Scholar 

  69. Choi, Y., et al. (2022). Bottom-up plasma-enhanced atomic layer deposition of SiO2 by utilizing growth inhibition using NH3 plasma pre-treatment for seamless gap-fill process. Science and Reports, 12, 15756.

    Article  Google Scholar 

  70. Lee, B.-J., Seo, D.-W., & Choi, J.-W. (2023). A study on the gap-fill process deposited by the deposition/etch/deposition method in the space-divided PE-ALD System. Coatings, 13, 48.

    Article  Google Scholar 

  71. Astié, V. et al. (2018). Direct liquid injection chemical vapor deposition. in Chemical vapor deposition for nanotechnology (IntechOpen). https://doi.org/10.5772/intechopen.80244.

  72. Jones, M. W., et al. (2023). National contributions to climate change due to historical emissions of carbon dioxide, methane, and nitrous oxide since 1850. Scientific Data, 10, 155.

    Article  Google Scholar 

  73. Maier, R., Hörtnagl, L., & Buchmann, N. (2022). Greenhouse gas fluxes (CO2, N2O and CH4) of pea and maize during two cropping seasons: Drivers, budgets, and emission factors for nitrous oxide. Science of The Total Environment, 849, 157541.

    Article  Google Scholar 

  74. Vasilyev, V. Y. (2021). Review—Atomic layer deposition of silicon dioxide thin films. ECS J. Solid State Sci. Technol., 10, 053004.

    Article  Google Scholar 

  75. Cyclic plasma deposition of SiO2 films at low temperature (80 °C) with intermediate plasma treatment | Journal of Vacuum Science & Technology A | AIP Publishing. https://pubs.aip.org/avs/jva/article/20/2/398/243321/Cyclic-plasma-deposition-of-SiO2-films-at-low. Accessed 9 Aug 2023

  76. Kim, S.-D., Ko, P.-S., & Park, K.-S. (2013). Perhydropolysilazane spin-on dielectrics for inter-layer-dielectric applications of sub-30 nm silicon technology. Semiconductor Science and Technology, 28, 035008.

    Article  Google Scholar 

  77. Barroso, G., Li, Q., Bordia, R. K., & Motz, G. (2019). Polymeric and ceramic silicon-based coatings – a review. J. Mater. Chem. A, 7, 1936–1963.

    Article  Google Scholar 

  78. Vorotilov, K., Petrovsky, V., & Vasiljev, V. (1995). Spin coating process of sol-gel silicate films deposition: Effect of spin speed and processing temperature. Journal of Sol-Gel Science and Technology, 5, 173–183.

    Article  Google Scholar 

  79. Shimoji, S. (1987). A new analytical model for spin coating process with solvent evaporation. Japanese Journal of Applied Physics, 26, L905.

    Article  Google Scholar 

  80. Lawrence, C. J. (1990). Spin coating with slow evaporation. Physics of Fluids A: Fluid Dynamics, 2, 453–456.

    Article  Google Scholar 

  81. Extrand, C. W., Moon, S. I., Monson, L., & Pogainis, B. J. (2014). Translation of particles to wafers during spin coating. ECS J. Solid State Sci. Technol., 3, P138.

    Article  Google Scholar 

  82. Stillwagon, L. E., Larson, R. G., & Taylor, G. N. (1987). Planarization of substrate topography by spin coating. Journal of the Electrochemical Society, 134, 2030.

    Article  Google Scholar 

  83. Cho, H.-C., Chou, F.-C., Wang, M.-W., & Tsai, C.-S. (2005). Effect of coriolis force on fingering instability and liquid usage reduction. Japanese Journal of Applied Physics, 44, L606.

    Article  Google Scholar 

  84. Chou, F.-C., Wang, M.-W., Gong, S.-C., & Yang, Z.-G. (2001). Reduction of photoresist usage during spin coating. Journal of Electronic Materials, 30, 432–438.

    Article  Google Scholar 

  85. Huang, K.-H., Chou, F.-C., & Yang, C.-P. (2007). Visualization of the effect of liquid dispensing method during spin coating. Japanese Journal of Applied Physics, 46, 5238.

    Article  Google Scholar 

  86. United Nations Framework Convention on Climate Change. (1992). https://unfccc.int/resource/docs/convkp/kpeng.pdf. Accessed 14 June 2023

  87. Report on the Review of the Report to Facilitate the Calculation of the Assigned Amount for the Second Commitment Period of the Kyoto Protocol of Norway. (2017). https://unfccc.int/files/kyoto_protocol/compliance/application/pdf/cc_ert_irr_2017_7_irr_of_norway_2nd_commitment_pd.pdf. Accessed 14 June 2023

  88. Main, E. (2012). Nitrogen trifluoride: the 7th mandatory Kyoto Protocol greenhouse gas. Ecometrica https://ecometrica.com/knowledge-bank/insights/nitrogen-trifluoride-the-7th-mandatory-kyoto-protocol-greenhouse-gas/. Accessed 14 June 2023

  89. Mattoxm, D. M. (1999). PVD processes: Reactive plasma cleaning of vacuum systems. SVC Topics Society of Vacuum Coaters (pp. 57–59).

  90. Arnold, T., et al. (2013). Nitrogen trifluoride global emissions estimated from updated atmospheric measurements. Proceedings of the National academy of Sciences of the United States of America, 110, 2029–2034.

    Article  Google Scholar 

  91. Mason, M. (2022). Not all greenhouse gases are the same (pp. 1–5). atonarp.com

  92. Prather, M. J., & Hsu, J. (2008). NF3, the greenhouse gas missing from Kyoto. Geophysical Research Letters, 35, 1–3.

    Article  Google Scholar 

  93. Ravishankara, A. R., Solomon, S., Turnipseed, A. A., & Warren, R. F. (1993). Atmospheric lifetimes of long-lived halogenated species. Science, 259, 194–199.

    Article  Google Scholar 

  94. Cigal, J.-C., Lee, S., & Stockman, P. (2016). On-site fluorine chamber cleaning for semiconductor thin-film processes: Shorter cycle times, lower greenhouse gas emissions, and lower power requirements. In: 2016 27th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC) 201–205. https://doi.org/10.1109/ASMC.2016.7491126.

  95. Ji, B., Yang, J. H., Badowski, P. R., & Karwacki, E. J. (2004). Optimization and analysis of NF3 in situ chamber cleaning plasmas. Journal of Applied Physics, 95, 4452–4462.

    Article  Google Scholar 

  96. Chen, X., Holber, W., Loomis, P., Sevillano, E., & Shao, S.-Q. (2003). Advances in remote plasma sources for cleaning 300 mm and flat panel CVD systems.

  97. Tsai, W.-T., Chen, H.-P., & Hsien, W.-Y. (2002). A review of uses, environmental hazards and recovery/recycle technologies of perfluorocarbons (PFCs) emissions from the semiconductor manufacturing processes. Journal of Loss Prevention in the Process Industries, 15, 65–75.

    Article  Google Scholar 

  98. Namose, I. (2003). Optimization of gas utilization in plasma processes. IEEE Transactions on Semiconductor Manufacturing, 16, 429–435.

    Article  Google Scholar 

  99. Jung, H., Jeong, S., Park, Y., Shin, Y., & Jeong, H. (2023). X-ray diffraction analysis of damaged layer during polishing of silicon carbide. International Journal of Precision Engineering and Manufacturing, 24, 25–32.

    Article  Google Scholar 

  100. Kim, D. J., et al. (2007). Role of N2 during chemical dry etching of silicon oxide layers using NF3/N2/Ar remote plasmas. Microelectronic Engineering, 84, 560–566.

    Article  Google Scholar 

  101. Chen, M. H., Ni, C. T., Su, C. H. & Chen, Y. L. (2013). The N2 diluted Application in PECVD NF3 in-situ chamber cleaning for PFC reduction. In: ASMC 2013 SEMI Advanced Semiconductor Manufacturing Conference 163–165. https://doi.org/10.1109/ASMC.2013.6552789.

  102. Shi, Y., et al. (2019). A review: Preparation, performance, and applications of silicon oxynitride film. Micromachines (Basel), 10, 552.

    Article  Google Scholar 

  103. Ohashi, M., Kanzaki, S., & Tabata, H. (1991). Effect of additives on some properties of silicon oxynitride ceramics. Journal of Materials Science, 26, 2608–2614.

    Article  Google Scholar 

  104. Ohashi, M., Tabata, H., & Kanzaki, S. (1988). High-temperature flexural strength of hot-pressed silicon oxynitride ceramics. Journal of Material Science Letters, 7, 339–340.

    Article  Google Scholar 

  105. Ohashi, M., Kanzaki, S., & Tabata, H. (1991). Processing, mechanical properties, and oxidation behavior of silicon oxynitride ceramics. Journal of the American Ceramic Society, 74, 109–114.

    Article  Google Scholar 

  106. Rocabois, P., Chatillon, C., & Bernard, C. (1996). Thermodynamics of the Si-O-N system: II, stability of Si2N2O(s) by high-temperature mass spectrometric vaporization. Journal of the American Ceramic Society, 79, 1361–1365.

    Article  Google Scholar 

  107. Tian, H., et al. (2020). A comprehensive quantification of global nitrous oxide sources and sinks. Nature, 586, 248–256.

    Article  Google Scholar 

  108. US EPA, O. (2015). Overview of Greenhouse Gases. https://www.epa.gov/ghgemissions/overview-greenhouse-gases. Accessed 5 Jan 2024

  109. Pears, K. A., et al. (2005). Carbon hard masks for etching sub-90nm structures. Microelectronic Engineering, 81, 156–161.

    Article  Google Scholar 

  110. Taniguchi, J. et al. (2002). PMMA direct patterning by synchrotron radiation using SOG mask. In: 2002 International Microprocesses and Nanotechnology Conference, 2002. Digest of Papers. 214. doi:https://doi.org/10.1109/IMNC.2002.1178620.

  111. Sharma, E., et al. (2022). Evolution in Lithography Techniques: Microlithography to Nanolithography. Nanomaterials, 12, 2754.

    Article  Google Scholar 

  112. Lee, S., et al. (2011). Comparative study on the properties of amorphous carbon layers deposited from 1-hexene and propylene for dry etch hard mask application in semiconductor device manufacturing. Thin Solid Films, 519, 6683–6687.

    Article  Google Scholar 

  113. LaPedus, M. (2020). 3D NAND’s vertical scaling race. Semiconductor Engineering https://semiengineering.com/3d-nands-vertical-scaling-race/. Accessed 9 Aug 2023

  114. Lim, J. et al. (2022). Development of 7th generation 3D VNAND Flash Product with COP structure for Growing Demand in Storage Market. In: 2022 International Conference on Electronics, Information, and Communication (ICEIC), pp 1–4. https://doi.org/10.1109/ICEIC54506.2022.9748730.

  115. Kim, J. H. et al. (2021). Highly Manufacturable 7th Generation 3D NAND Flash Memory with COP structure and Double Stack Process. In: 2021 Symposium on VLSI Technology 1–2.

  116. Heidrich, K. (2021). Untangling 3D NAND: Tilt, Registration, And Misalignment. Semiconductor Engineering https://semiengineering.com/untangling-3d-nand-tilt-registration-and-misalignment/. Accessed 9 Aug 2023

  117. Compressed Gas Cylinder Safety | Environmental, Health and Safety Services | Virginia Tech. https://www.ehss.vt.edu/programs/CGC_cylinders.php. Accessed 28 July 2023

  118. Knoops, H. C. M., et al. (2015). Atomic layer deposition of silicon nitride from Bis(tert-butylamino)silane and N2 plasma. ACS Applied Materials & Interfaces, 7, 19857–19862.

    Article  Google Scholar 

  119. Circular Economy | Sustainability | Samsung Electronics. Circular Economy | Sustainability | Samsung Electronics https://www.samsung.com/global/sustainability/planet/circular-economy. Accessed 10 Aug 2023

  120. Shin, D., Kim, J., & Lee, C. S. (2023). Evaluation of V2O5 film-based electrochromic device with dry-deposited ion storage layer. International Journal of Precision Engineering and Manufacturing, 24, 119–128.

    Article  Google Scholar 

Download references

Funding

We thank Lam Research and Applied Materials in assisting us with development and application of hibernation tools to achieve Green CVD, MISO.

Author information

Authors and Affiliations

Authors

Contributions

The manuscript was written through contributions of all authors. All authors have given approval to the final version of the manuscript.

Corresponding authors

Correspondence to Changsoo Lee or Chulhwan Choi.

Ethics declarations

Conflict of Interest

On behalf of all authors, the corresponding author states that there is no conflict of interest.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Baek, S.Y., Park, J., Koh, T. et al. Achievement of Green and Sustainable CVD Through Process, Equipment and Systematic Optimization in Semiconductor Fabrication. Int. J. of Precis. Eng. and Manuf.-Green Tech. (2024). https://doi.org/10.1007/s40684-024-00606-y

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s40684-024-00606-y

Keywords

Navigation