Skip to main content
Log in

A comprehensive survey of physical and logic testing techniques for Hardware Trojan detection and prevention

  • Regular Paper
  • Published:
Journal of Cryptographic Engineering Aims and scope Submit manuscript

Abstract

Hardware Trojans have emerged as a great threat to the trustability of modern electronic systems. A deployed electronic system with one or more undetected Hardware Trojan-infected components can cause grave harm, ranging from personal information loss to destruction of national infrastructure. The inherently surreptitious nature and bewildering variety of Hardware Trojans makes their detection an extremely challenging exercise. In this paper, we explore the state of the art of post-silicon testing techniques for Hardware Trojan detection, with our coverage including both physical measurement-based testing, as well as logic testing. We present systematic classification of Hardware Trojans and a taxonomy of detection techniques based on physical and logical testing, and describe these techniques in details, including their stand-out features and strengths and weaknesses. We conclude the paper with an evaluation of the current status of progress, and major directions of future research.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Aarestad, J., Acharyya, D., Rad, R., Plusquellic, J.: Detecting Trojans through leakage current analysis using multiple supply pad IDDQS. Trans. Inf. Forensic Secur. 5(4), 893–904 (2010). https://doi.org/10.1109/TIFS.2010.2061228

    Article  Google Scholar 

  2. Abramovici, M., Bradley, P.: Integrated circuit security: new threats and solutions. In: Proceedings of the 5th Annual Workshop on Cyber Security and Information Intelligence Research: Cyber Security and Information Intelligence Challenges and Strategies, pp. 1–3 (2009)

  3. Adibelli, S., Juyal, P., Nguyen, L.N., Prvulovic, M., Zajic, A.: Near field backscattering based sensing for hardware Trojan detection. IEEE Trans. Antennas Propag. 68(12), 8082–8090 (2020)

    Article  Google Scholar 

  4. Agrawal, D., Baktir, S., Karakoyunlu, D., Rohatgi, P., Sunar, B.: Trojan detection using IC fingerprinting. In: 2007 IEEE Symposium on Security and Privacy (SP ’07), pp. 296–310 (2007)

  5. Agrawal, D., Baktir, S., Karakoyunlu, D., Rohatgi, P., Sunar, B.: Trojan detection using IC fingerprinting. In: 2007 IEEE Symposium on Security and Privacy (SP’07), pp. 296–310. IEEE (2007)

  6. Alaql, A., Bhunia, S.: Scalable attack-resistant obfuscation of logic circuits. arXiv preprint arXiv:2010.15329 (2020)

  7. Alkabani, Y., Koushanfar, F.: Consistency-based characterization for IC Trojan detection. In: 2009 IEEE/ACM International Conference on Computer-Aided Design—Digest of Technical Papers, pp. 123–127 (2009)

  8. Amelian, A., Borujeni, S.E.: A side-channel analysis for hardware Trojan detection based on path delay measurement. J. Circuits Syst. Comput. 27(09), 1850138 (2018). https://doi.org/10.1142/S0218126618501384

    Article  Google Scholar 

  9. Amir, S., Shakya, B., Xu, X., Jin, Y., Bhunia, S., Tehranipoor, M., Forte, D.: Development and evaluation of hardware obfuscation benchmarks. J. Hardw. Syst. Secur. 2(2), 142–161 (2018)

    Article  Google Scholar 

  10. Amyeen, M.E., Venkataraman, S., Ojha, A., Lee, S.: Evaluation of the quality of N-detect scan ATPG patterns on a processor. In: 2004 International Conference on Test, pp. 669–678. IEEE (2004)

  11. Ba, P.S., Dupuis, S., Flottes, M.L., Di Natale, G., Rouzeyre, B.: Using outliers to detect stealthy hardware Trojan triggering? In: 2016 1st IEEE International Verification and Security Workshop (IVSW), pp. 1–6. IEEE (2016)

  12. Balasch, J., Gierlichs, B., Verbauwhede, I.: Electromagnetic circuit fingerprints for hardware Trojan detection. In: 2015 IEEE International Symposium on Electromagnetic Compatibility (EMC), pp. 246–251 (2015)

  13. Banga, M., Hsiao, M.S.: A region based approach for the identification of hardware Trojans. In: 2008 IEEE International Workshop on Hardware-Oriented Security and Trust, pp. 40–47 (2008)

  14. Banga, M., Hsiao, M.S.: A novel sustained vector technique for the detection of hardware Trojans. In: 2009 22nd International Conference on VLSI Design, pp. 327–332 (2009)

  15. Banga, M., Chandrasekar, M., Fang, L., Hsiao, M.S.: Guided test generation for isolation and detection of embedded Trojans in ICs. In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI, pp. 363–366. GLSVLSI ’08, Association for Computing Machinery, New York, NY, USA (2008). https://doi.org/10.1145/13661101366196

  16. Banga, M., Chandrasekar, M., Fang, L., Hsiao, M.S.: Guided test generation for isolation and detection of embedded Trojans in ICs. In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI, pp. 363–366. ACM (2008)

  17. Banga, M., Hsiao, M.S.: Trusted RTL: Trojan detection methodology in pre-silicon designs. In: 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp. 56–59. IEEE (2010)

  18. Bao, C., Forte, D., Srivastava, A.: Temperature tracking: toward robust run-time detection of hardware Trojans. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(10), 1577–1585 (2015)

    Article  Google Scholar 

  19. Bao, C., Forte, D., Srivastava, A.: On application of one-class SVM to reverse engineering-based hardware Trojan detection. In: Fifteenth International Symposium on Quality Electronic Design, pp. 47–54 (2014). https://doi.org/10.1109/ISQED.2014.6783305

  20. Bao, C., Forte, D., Srivastava, A.: On reverse engineering-based hardware Trojan detection. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(1), 49–57 (2016). https://doi.org/10.1109/TCAD.2015.2488495

    Article  Google Scholar 

  21. Bhunia, S., Abramovici, M., Agrawal, D., Bradley, P., Hsiao, M.S., Plusquellic, J., Tehranipoor, M.: Protection against hardware Trojan attacks: towards a comprehensive solution. IEEE Des. Test 30(3), 6–17 (2013)

    Article  Google Scholar 

  22. Bhunia, S., Hsiao, M.S., Banga, M., Narasimhan, S.: Hardware Trojan attacks: threat, analysis and countermeasures. Proc. IEEE 102(8), 1229–1247 (2014)

    Article  Google Scholar 

  23. Bhunia, S., Tehranipoor, M.M.: The Hardware Trojan War: Attacks, Myths, and Defenses, 1st edn. Springer, Berlin (2017)

    Google Scholar 

  24. Bloom, G., Narahari, B., Simha, R.: OS support for detecting Trojan circuit attacks. In: 2009 IEEE International Workshop on Hardware-Oriented Security and Trust, pp. 100–103. IEEE (2009)

  25. Bloom, G., Narahari, B., Simha, R., Zambreno, J.: Providing Secure execution environments with a last line of defense against Trojan circuit attacks. Comput. Secur. 28(7), 660–669 (2009)

    Article  Google Scholar 

  26. Bright, P.: Meltdown and spectre: here’s what Intel, Apple, Microsoft, others are doing about it. Ars Technica. 5 January (2018)

  27. Cha, B., Gupta, S.K.: Trojan detection via delay measurements: a new approach to select paths and vectors to maximize effectiveness and minimize cost. In: 2013 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 1265–1270 (2013)

  28. Chakraborty, R.S., Narasimhan, S., Bhunia, S.: Hardware Trojan: threats and emerging solutions. In: 2009 IEEE International high level design validation and test workshop, pp. 166–171. IEEE (2009)

  29. Chakraborty, R.S., Bhunia, S.: Security against hardware Trojan through a novel application of design obfuscation. In: 2009 IEEE/ACM International Conference on Computer-Aided Design-Digest of Technical Papers, pp. 113–116. IEEE (2009)

  30. Chakraborty, R.S., Wolff, F., Paul, S., Papachristou, C., Bhunia, S.: MERO: a statistical approach for hardware Trojan detection. In: International Workshop on Cryptographic Hardware and Embedded Systems, pp. 396–410. Springer (2009)

  31. Chen, Z., Guo, S., Wang, J., Li, Y., Lu, Z.: Toward FPGA security in IoT: a new detection technique for hardware Trojans. IEEE Internet Things J. 6(4), 7061–7068 (2019)

    Article  Google Scholar 

  32. Courbon, F., Loubet-Moundi, P., Fournier, J.J., Tria, A.: A High efficiency hardware Trojan detection technique based on fast SEM imaging. In: 2015 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 788–793 (2015). https://doi.org/10.7873/DATE.2015.1104

  33. Courbon, F., Loubet-Moundi, P., Fournier, J.J., Tria, A.: SEMBA: a SEM based acquisition technique for fast invasive hardware Trojan detection. In: 2015 European Conference on Circuit Theory and Design (ECCTD), pp. 1–4 (2015). https://doi.org/10.1109/ECCTD.2015.7300097

  34. Cozzi, M., Galliere, J.M., Maurine, P.: Exploiting phase information in thermal scans for stealthy Trojan detection. In: 2018 21st Euromicro Conference on Digital System Design (DSD), pp. 573–576 (2018). https://doi.org/10.1109/DSD.2018.00100

  35. Cozzi, M., Galliere, J.M., Maurine, P.: Thermal scans for detecting hardware Trojans. In: Fan, J., Gierlichs, B. (eds.) Constructive Side-Channel Analysis and Secure Design, pp. 117–132. Springer International Publishing, Cham (2018)

    Chapter  Google Scholar 

  36. Cozzi, M., Galliere, J.M., Maurine, P.: Statistical lock-in thermography to improve contrast and detectivity of ICs thermal maps. In: 2019 25th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC), pp. 1–6 (2019). https://doi.org/10.1109/THERMINIC.2019.8923769

  37. Cruz, J., Farahmandi, F., Ahmed, A., Mishra, P.: Hardware Trojan detection using ATPG and model checking. In: 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID), pp. 91–96. IEEE (2018)

  38. Cui, X., Koopahi, E., Wu, K., Karri, R.: Hardware Trojan detection using the order of path delay. J. Emerg. Technol. Comput. Syst. 14(3), 1–23 (2018). https://doi.org/10.1145/3229050

    Article  Google Scholar 

  39. Dupuis, S., Ba, P.S., Flottes, M.L., Di Natale, G., Rouzeyre, B.: New testing procedure for finding insertion sites of stealthy hardware Trojans. In: 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 776–781. IEEE (2015)

  40. Dupuis, S., Flottes, M.L., Di Natale, G., Rouzeyre, B.: Protection against hardware Trojans with logic testing: proposed solutions and challenges ahead. IEEE Des. Test 35(2), 73–90 (2017)

    Article  Google Scholar 

  41. Esirci, F.N., Bayrakci, A.A.: Hardware Trojan detection based on correlated path delays in defiance of variations with spatial correlations. In: Design, Automation Test in Europe Conference Exhibition (DATE), 2017, pp. 163–168 (2017)

  42. Exurville, I., Zussa, L., Rigaud, J., Robisson, B.: Resilient hardware Trojans detection based on path delay measurements. In: 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp. 151–156 (2015)

  43. Faezi, S., Yasaei, R., Barua, A., Faruque, M.A.A.: Brain-inspired golden chip free hardware Trojan detection. IEEE Trans. Inf. Forensics Secur. 16, 2697–2708 (2021). https://doi.org/10.1109/TIFS.2021.3062989

    Article  Google Scholar 

  44. Ferraiuolo, A., Zhang, X., Tehranipoor, M.: Experimental analysis of a ring oscillator network for hardware Trojan detection in a 90 nm ASIC. In: 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 37–42 (2012)

  45. Forte, D., Bao, C., Srivastava, A.: Temperature tracking: an innovative run-time approach for hardware Trojan detection. In: 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 532–539 (2013)

  46. Fournaris, A.P., Pyrgas, L., Kitsos, P.: An FPGA hardware Trojan detection approach based on multiple parameter analysis. In: 2018 21st Euromicro Conference on Digital System Design (DSD), pp. 516–522 (2018)

  47. Guin, U., Huang, K., DiMase, D., Carulli, J.M., Tehranipoor, M., Makris, Y.: Counterfeit integrated circuits: a rising threat in the global semiconductor supply chain. Proc. IEEE 102(8), 1207–1228 (2014)

    Article  Google Scholar 

  48. Haider, S.K., Jin, C., Ahmad, M., Shila, D.M., Khan, O., van Dijk, M.: Advancing the state-of-the-art in hardware Trojans detection. IEEE Trans. Dependable Secur. Comput. 16(1), 18–32 (2017)

    Article  Google Scholar 

  49. Haider, S.K., Jin, C., van Dijk, M.: Advancing the state-of-the-art in hardware Trojans design. In: 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS), pp. 823–826. IEEE (2017)

  50. Harada, L.L.: Semiconductor technology and U.S. National Security. Technical report, ARMY WAR COLL CARLISLE BARRACKS PA (2010)

  51. Hasegawa, K., Shi, Y., Togawa, N.: Hardware Trojan detection utilizing machine learning approaches. In: 2018 17th IEEE International Conference on Trust, Security and Privacy in Computing and Communications/12th IEEE International Conference on Big Data Science And Engineering (TrustCom/BigDataSE), pp. 1891–1896 (2018)

  52. He, J., Zhao, Y., Guo, X., Jin, Y.: Hardware Trojan detection through chip-free electromagnetic side-channel statistical analysis. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25(10), 2939–2948 (2017)

    Article  Google Scholar 

  53. Hicks, M., Finnicum, M., King, S.T., Martin, M.M., Smith, J.M.: Overcoming an untrusted computing base: detecting and removing malicious hardware automatically. In: 2010 IEEE Symposium on Security and Privacy, pp. 159–172. IEEE (2010)

  54. Schneider, W., Chairman, F.: Defense Science Board Task Force on High Performance Microchip Supply. Office of the Under Secretary of Defense for Acquisition, Technology, and Logistics, Washington (2005)

    Google Scholar 

  55. Hou, B., He, C., Wang, L., En, Y., Xie, S.: Hardware Trojan detection via current measurement: a method immune to process variation effects. In: 2014 10th International Conference on Reliability, Maintainability and Safety (ICRMS), pp. 1039–1042 (2014)

  56. Hu, K., Nowroz, A.N., Reda, S., Koushanfar, F.: High-sensitivity hardware Trojan detection using multimodal characterization. In: 2013 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 1271–1276 (2013)

  57. Huang, Y., Bhunia, S., Mishra, P.: Scalable test generation for Trojan detection using side channel analysis. IEEE Trans. Inf. Forensics Secur. 13(11), 2746–2760 (2018)

    Article  Google Scholar 

  58. Huang, Y., Bhunia, S., Mishra, P.: MERS: statistical test generation for side-channel analysis based Trojan detection. In: Proceedings of the 2016 ACM SIGSAC Conference on Computer and Communications Security, pp. 130–141. CCS ’16, Association for Computing Machinery, New York, NY, USA (2016). https://doi.org/10.1145/2976749.2978396

  59. Ismari, D., Plusquellic, J., Lamech, C., Bhunia, S., Saqib, F.: On detecting delay anomalies introduced by hardware Trojans. In: 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–7 (2016)

  60. Jacob, N., Merli, D., Heyszl, J., Sigl, G.: Hardware Trojans: current challenges and approaches. IET Comput. Digit. Tech. 8(6), 264–273 (2014)

    Article  Google Scholar 

  61. Jap, D., Wei He, Bhasin, S.: Supervised and unsupervised machine learning for side-channel based Trojan detection. In: 2016 IEEE 27th International Conference on Application-specific Systems, Architectures and Processors (ASAP), pp. 17–24 (2016)

  62. Jha, S., Jha, S.K.: Randomization based probabilistic approach to detect Trojan circuits. In: 2008 11th IEEE High Assurance Systems Engineering Symposium, pp. 117–124. IEEE (2008)

  63. Jie Li, Lach, J.: At-speed delay characterization for IC authentication and Trojan horse detection. In: 2008 IEEE International Workshop on Hardware-Oriented Security and Trust, pp. 8–14 (2008)

  64. Koushanfar, F., Mirhoseini, A.: A unified framework for multimodal submodular integrated circuits Trojan detection. IEEE Trans. Inf. Forensics Secur. 6(1), 162–174 (2011)

    Article  Google Scholar 

  65. Krieg, C., Rathmair, M., Schupfer, F.: A process for the detection of design-level hardware Trojans using verification methods. In: 2014 IEEE International Conference on High Performance Computing and Communications, 2014 IEEE 6th International Symposium on Cyberspace Safety and Security, 2014 IEEE 11th International Conference on Embedded Software and System (HPCC, CSS, ICESS), pp. 729–734. IEEE (2014)

  66. Lamech, C., Plusquellic, J.: Trojan detection based on delay variations measured using a high-precision, low-overhead embedded test structure. In: 2012 IEEE International Symposium on Hardware-Oriented Security and Trust, pp. 75–82 (2012)

  67. Lecomte, M., Fournier, J., Maurine, P.: An on-chip technique to detect hardware Trojans and assist counterfeit identification. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25(12), 3317–3330 (2017)

    Article  Google Scholar 

  68. Li, H., Liu, Q.: Hardware Trojan detection acceleration based on word-level statistical properties management. In: 2014 International Conference on Field-Programmable Technology (FPT), pp. 153–160. IEEE (2014)

  69. Li, H., Liu, Q., Zhang, J.: A survey of hardware Trojan threat and defense. Integration 55, 426–437 (2016)

    Article  Google Scholar 

  70. Li, M., Davoodi, A., Tehranipoor, M.: A sensor-assisted self-authentication framework for hardware Trojan detection. In: 2012 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 1331–1336 (2012)

  71. Liu, Y., Huang, K., Makris, Y.: Hardware Trojan detection through golden chip-free statistical side-channel fingerprinting. In: 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2014)

  72. Lodhi, F.K., Abbasi, I., Khalid, F., Hasan, O., Awwad, F., Hasan, S.R.: A self-learning framework to detect the intruded integrated circuits. In: 2016 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1702–1705 (2016). https://doi.org/10.1109/ISCAS.2016.7538895

  73. Lodhi, F.K., Hasan, S.R., Hasan, O., Awwadl, F.: Power profiling of microcontroller’s instruction set for runtime hardware Trojans detection without golden circuit models. In: Design, Automation Test in Europe Conference Exhibition (DATE), 2017, pp. 294–297 (2017). https://doi.org/10.23919/DATE.2017.7927002

  74. Lyu, Y., Mishra, P.: Efficient test generation for Trojan detection using side channel analysis. In: 2019 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 408–413 (2019)

  75. Lyu, Y., Mishra, P.: Automated test generation for Trojan detection using delay-based side channel analysis. In: 2020 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 1031–1036 (2020)

  76. Mishra, P., Bhunia, S., Tehranipoor, M.: Hardware IP Security and Trust. Springer, Berlin (2017)

    Book  Google Scholar 

  77. Narasimhan, S., Du, D., Chakraborty, R.S., Paul, S., Wolff1, F., Papachristou, C., Roy, K., Bhunia, S.: Multiple-parameter side-channel analysis: a non-invasive hardware Trojan detection approach. In: 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp. 13–18 (2010)

  78. Narasimhan, S., Wang, X., Du, D., Chakraborty, R.S., Bhunia, S.: TeSR: a robust temporal self-referencing approach for hardware Trojan detection. In: 2011 IEEE International Symposium on Hardware-Oriented Security and Trust, pp. 71–74 (2011)

  79. Ngo, X., Exurville, I., Bhasin, S., Danger, J., Guilley, S., Najm, Z., Rigaud, J., Robisson, B.: Hardware Trojan detection by delay and electromagnetic measurements. In: 2015 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 782–787 (2015)

  80. Ngo, X.T., Bhasin, S., Danger, J.L., Guilley, S., Najm, Z.: Linear complementary dual code improvement to strengthen encoded circuit against hardware Trojan horses. In: 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp. 82–87. IEEE (2015)

  81. Ngo, X.T., Najm, Z., Bhasin, S., Guilley, S., Danger, J.L.: Method taking into account process dispersion to detect hardware Trojan horse by side-channel analysis. J. Cryptogr. Eng. 6(3), 239–247 (2016). https://doi.org/10.1007/s13389-016-0129-2

    Article  Google Scholar 

  82. Nguyen, L.N., Cheng, C., Prvulovic, M., Zajić, A.: Creating a backscattering side channel to enable detection of dormant hardware Trojans. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 27(7), 1561–1574 (2019)

    Article  Google Scholar 

  83. Nigh, C., Orailoglu, A.: Test pattern superposition to detect hardware Trojans. In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 25–30. IEEE (2020)

  84. Nigh, C., Orailoglu, A.: AdaTrust: combinational hardware Trojan detection through adaptive test pattern construction. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 29(3), 544–557 (2021). https://doi.org/10.1109/TVLSI.2021.3053553

    Article  Google Scholar 

  85. Nowroz, A.N., Hu, K., Koushanfar, F., Reda, S.: Novel techniques for high-sensitivity hardware Trojan detection using thermal and power maps. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(12), 1792–1805 (2014)

    Article  Google Scholar 

  86. Pan, Z., Mishra, P.: Automated test generation for hardware Trojan detection using reinforcement learning. In: 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 408–413 (2021)

  87. Potkonjak, M., Nahapetian, A., Nelson, M., Massey, T.: Hardware Trojan horse detection using gate-level characterization. In: 2009 46th ACM/IEEE Design Automation Conference, pp. 688–693 (2009)

  88. Quadir, S.E., Chen, J., Forte, D., Asadizanjani, N., Shahbazmohamadi, S., Wang, L., Chandy, J., Tehranipoor, M.: A survey on chip to system reverse engineering. J. Emerg. Technol. Comput. Syst. 13(1), 1–34 (2016). https://doi.org/10.1145/2755563

    Article  Google Scholar 

  89. Rad, R., Plusquellic, J., Tehranipoor, M.: Sensitivity analysis to hardware Trojans using power supply transient signals. In: 2008 IEEE International Workshop on Hardware-Oriented Security and Trust, pp. 3–7 (2008)

  90. Rad, R.M., Wang, X., Tehranipoor, M., Plusquellic, J.: Power supply signal calibration techniques for improving detection resolution to hardware Trojans. In: 2008 IEEE/ACM International Conference on Computer-Aided Design, pp. 632–639 (2008)

  91. Rad, R.M., Wang, X., Tehranipoor, M., Plusquellic, J.: Power Supply signal calibration techniques for improving detection resolution to hardware Trojans. In: 2008 IEEE/ACM International Conference on Computer-Aided Design, pp. 632–639. IEEE (2008)

  92. Rai, D., Lach, J.: Performance of delay-based Trojan detection techniques under parameter variations. In: 2009 IEEE International Workshop on Hardware-Oriented Security and Trust, pp. 58–65 (2009)

  93. Ranjani, R.S., Devi, M.N.: Golden-chip free power metric based hardware Trojan detection and diagnosis. Far East J. Electron. Commun. 17, 517–530 (2017)

    Article  Google Scholar 

  94. Ranjani, R.S., Devi, M.N.: Malicious hardware detection and design for trust: an analysis. Elektrotehniski Vestnik 84(1/2), 7 (2017)

    Google Scholar 

  95. Ranjani, R.S., Maneesh, P., Devi, M.N.: Golden chip free HT detection and diagnosis using power signature analysis. Presented at the 7th IEEE International Workshop on Reliability Aware System Design and Test (RASDAT) (2016)

  96. Rathmair, M., Schupfer, F., Krieg, C.: Applied formal methods for hardware Trojan detection. In: 2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 169–172. IEEE (2014)

  97. Rilling, J., Graziano, D., Hitchcock, J., Meyer, T., Wang, X., Jones, P., Zambreno, J.: Circumventing a ring oscillator approach to FPGA-based hardware Trojan detection. In: 2011 IEEE 29th International Conference on Computer Design (ICCD), pp. 289–292 (2011)

  98. Sabri, M., Shabani, A., Alizadeh, B.: SAT-based integrated hardware Trojan detection and localization approach through path-delay analysis. IEEE Trans. Circuits Syst. II Express Briefs 68, 2850–2854 (2021). https://doi.org/10.1109/TCSII.2021.3074549

    Article  Google Scholar 

  99. Saha, S., Chakraborty, R.S., Nuthakki, S.S., Mukhopadhyay, D., et al.: Improved test pattern generation for hardware Trojan detection using genetic algorithm and Boolean satisfiability. In: International Workshop on Cryptographic Hardware and Embedded Systems, pp. 577–596. Springer (2015)

  100. Salmani, H., Tehranipoor, M., Karri, R.: On design vulnerability analysis and trust benchmarks development. In: 2013 IEEE 31st International Conference on Computer Design (ICCD), pp. 471–474. IEEE (2013)

  101. Saran, T., Ranjani, R.S., Devi, M.N.: A region based fingerprinting for hardware Trojan detection and diagnosis. In: 2017 4th International Conference on Signal Processing and Integrated Networks (SPIN), pp. 166–172. IEEE (2017)

  102. Shakya, B., He, T., Salmani, H., Forte, D., Bhunia, S., Tehranipoor, M.: Benchmarking of hardware Trojans and maliciously affected circuits. J. Hardw. Syst. Secur. 1(1), 85–102 (2017)

    Article  Google Scholar 

  103. Shi, Q., Vashistha, N., Lu, H., Shen, H., Tehranipoor, B., Woodard, D.L., Asadizanjani, N.: Golden gates: a new hybrid approach for rapid hardware Trojan detection using testing and imaging. In: 2019 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp. 61–71 (2019). https://doi.org/10.1109/HST.2019.8741031

  104. Stern, A., Mehta, D., Tajik, S., Farahmandi, F., Tehranipoor, M.: SPARTA: a laser probing approach for Trojan detection. In: 2020 IEEE International Test Conference (ITC), pp. 1–10 (2020). https://doi.org/10.1109/ITC44778.2020.9325222

  105. Stern, A., Mehta, D., Tajik, S., Guin, U., Farahmandi, F., Tehranipoor, M.: SPARTA-COTS: a laser probing approach for sequential Trojan detection in COTS integrated circuits. In: 2020 IEEE Physical Assurance and Inspection of Electronics (PAINE), pp. 1–6 (2020). https://doi.org/10.1109/PAINE49178.2020.9337728

  106. Söll, O., Korak, T., Muehlberghuber, M., Hutter, M.: EM-based detection of hardware Trojans on FPGAs. In: 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp. 84–87 (2014)

  107. Tehranipoor, M., Koushanfar, F.: A survey of hardware Trojan taxonomy and detection. IEEE Des. Test Comput. 27(1), 10–25 (2010)

    Article  Google Scholar 

  108. Trust-hub.org. https://www.trust-hub.org/benchmarks/chip-level-Trojan

  109. Vashistha, N., Rahman, M.T., Shen, H., Woodard, D.L., Asadizanjani, N., Tehranipoor, M.: Detecting hardware Trojans inserted by untrusted foundry using physical inspection and advanced image processing. J. Hardw. Syst. Secur. 2(4), 333–344 (2018). https://doi.org/10.1007/s41635-018-0055-0

    Article  Google Scholar 

  110. Voyiatzis, A.G., Stefanidis, K.G., Kitsos, P.: Efficient triggering of Trojan hardware logic. In: 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), pp. 1–6. IEEE (2016)

  111. Waksman, A., Suozzo, M., Sethumadhavan, S.: FANCI: identification of stealthy malicious logic using Boolean functional analysis. In: Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security, pp. 697–708 (2013)

  112. Wang, X., Salmani, H., Tehranipoor, M., Plusquellic, J.: Hardware Trojan detection and isolation using current integration and localized current analysis. In: 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, pp. 87–95 (2008)

  113. Wei, S., Potkonjak, M.: Scalable consistency-based hardware Trojan detection and diagnosis. In: 2011 5th International Conference on Network and System Security, pp. 176–183 (2011)

  114. Wei, S., Potkonjak, M.: Scalable hardware Trojan diagnosis. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 20(6), 1049–1057 (2012)

    Article  Google Scholar 

  115. Wei, S., Potkonjak, M.: Self-consistency and consistency-based detection and diagnosis of malicious circuitry. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 22(9), 1845–1853 (2014)

    Article  Google Scholar 

  116. Wen, Y., Yu, W.: Combining thermal maps with inception neural networks for hardware Trojan detection. IEEE Embed. Syst. Lett. 13(2), 45–48 (2021). https://doi.org/10.1109/LES.2020.3000008

    Article  Google Scholar 

  117. Wilcox, I., Saqib, F., Plusquellic, J.: GDS-II Trojan detection using multiple supply pad VDD and GND IDDQs in ASIC functional units. In: 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp. 144–150 (2015)

  118. Wolff, F., Papachristou, C., Bhunia, S., Chakraborty, R.S.: Towards Trojan-free trusted ICs: problem analysis and detection scheme. In: 2008 Design, Automation and Test in Europe, pp. 1362–1365. IEEE (2008)

  119. Wolff, F., Papachristou, C., Bhunia, S., Chakraborty, R.S.: Towards Trojan-free trusted ICs: problem analysis and detection scheme. In: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 1362–1365. ACM (2008)

  120. Xiao, K., Forte, D., Jin, Y., Karri, R., Bhunia, S., Tehranipoor, M.: Hardware Trojans: lessons learned after one decade of research. ACM Trans. Des. Autom. Electron. Syst. 22(1), 1–23 (2016). https://doi.org/10.1145/2906147

    Article  Google Scholar 

  121. Xiao, K., Forte, D., Jin, Y., Karri, R., Bhunia, S., Tehranipoor, M.: Hardware Trojans: lessons learned after one decade of research. ACM Trans. Des. Autom. Electron. Syst. (TODAES) 22(1), 1–23 (2016)

    Article  Google Scholar 

  122. Xue, H., Ren, S.: Self-reference-based hardware Trojan detection. IEEE Trans. Semicond. Manuf. 31(1), 2–11 (2018)

    Article  Google Scholar 

  123. Xue, M., Bian, R., Wang, J., Liu, W.: A co-training based hardware Trojan detection technique by exploiting unlabeled ICs and inaccurate simulation models. In: 2018 17th IEEE International Conference on Trust, Security and Privacy in Computing and Communications/12th IEEE International Conference on Big Data Science And Engineering (TrustCom/BigDataSE), pp. 1452–1457 (2018)

  124. Xue, M., Bian, R., Wang, J., Liu, W.: Building an accurate hardware Trojan detection technique from inaccurate simulation models and unlabelled ICs. IET Comput. Dig. Tech. 13(4), 348–359 (2019)

    Article  Google Scholar 

  125. Xue, M., Wang, J., Hu, A.: An enhanced classification-based golden chips-free hardware Trojan detection technique. In: 2016 IEEE Asian Hardware-Oriented Security and Trust (AsianHOST), pp. 1–6 (2016)

  126. Yang, L., Li, X., Li, H.: Hardware Trojan detection method based on time feature of chip temperature. In: 2020 10th Annual Computing and Communication Workshop and Conference (CCWC), pp. 1029–1032 (2020). https://doi.org/10.1109/CCWC47524.2020.9031281

  127. Yier Jin, Makris, Y.: Hardware Trojan detection using path delay fingerprint. In: 2008 IEEE International Workshop on Hardware-Oriented Security and Trust, pp. 51–57 (2008)

  128. Yoshimizu, N.: Hardware Trojan detection by symmetry breaking in path delays. In: 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp. 107–111 (2014)

  129. Zhang, J., Yu, H., Xu, Q.: HTOutlier: hardware Trojan detection with side-channel signature outlier identification. In: 2012 IEEE International Symposium on Hardware-Oriented Security and Trust, pp. 55–58 (2012)

  130. Zhang, X., Tehranipoor, M.: RON: an on-chip ring oscillator network for hardware Trojan detection. In: 2011 Design, Automation Test in Europe, pp. 1–6 (2011)

  131. Zhang, X., Salmani, H.: Integrated circuit authentication: hardware Trojans and counterfeit detection (2014)

  132. Zhang, X., Tehranipoor, M.: Case study: detecting hardware Trojans in third-party digital IP cores. In: 2011 IEEE International Symposium on Hardware-Oriented Security and Trust, pp. 67–70. IEEE (2011)

  133. Zheng, Y., Yang, S., Bhunia, S.: SeMIA: self-similarity-based IC integrity analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(1), 37–48 (2016)

    Article  Google Scholar 

  134. Zhou, B., Adato, R., Zangeneh, M., Yang, T., Uyar, A., Goldberg, B., Unlu, S., Joshi, A.: Detecting hardware Trojans using backside optical imaging of embedded watermarks. In: 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2015). https://doi.org/10.1145/2744769.2744822

  135. Zhou, B., Aksoylar, A., Vigil, K., Adato, R., Tan, J., Goldberg, B., Ünlü, M.S., Joshi, A.: Hardware Trojan detection using backside optical imaging. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(1), 24–37 (2021). https://doi.org/10.1109/TCAD.2020.2991680

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rijoy Mukherjee.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

A overview of HT detection approaches discussed in the paper

A overview of HT detection approaches discussed in the paper

Paper

Detection technique

Golden reference model

Methodology

Adversary model

Years

Agrawal et al. [4]

Power-based SCA

Reverse Engineering

PCA of dynamic power side-channel signatures

Transistor Trojan

2007

Wang et al. [112]

Power-based SCA

Simulation

Multi-supply transient-current integration methodology

Transistor Trojan

2008

Banga et al. [13,14,15]

Power-based SCA

Simulation

Region-aware test pattern generation for increasing transient power

RTL Trojan

2008, 2009

Rad et al. [89, 90]

Power-based SCA

Simulation

Region-based transient power signal analysis for Outlier detection

Transistor Trojan

2008

Li et al. [63]

Delay-based SCA

Reverse Engineering

Measurement of selected register-to-register path delays

RTL Trojan

2008

Jin et al. [127]

Delay-based SCA

Reverse Engineering

Fingerprinting using path delay information of the entire chip

RTL Trojan

2008

Jha et al. [62]

Logic Testing

N.A.

Unique probabilistic signature of IC is generated and compared with a HT-free circuit

Transistor Trojan

2008

Rai et al. [92]

Delay-based SCA

Simulation

Statistical analysis of delay signature to enhance HT detection

RTL Trojan

2009

Chakraborty et al. [30]

Logic Testing

N.A.

Heuristics to improve HT detection coverage motivated by the N-detect test

RTL Trojan

2009

Aarestad et al. [1]

Power-based SCA

Simulation

Static current consumption analysis

Transistor Trojan

2010

Narasimhan et al. [77]

Power and Delay-based SCA

Simulation

Use of the correlation between \(F_{max}\) and \(I_{DDT}\) of an IC to eliminate the impact of PV

Transistor Trojan

2010

Banga et al. in [17]

Logic Testing

N.A.

HT detection scheme enhanced by SAT solver

RTL Trojan

2010

Koushanfar et al. [64]

Multi Parameter

Simulation

Use of a combination of several side-channel parameters

RTL Trojan

2011

Narasimhan et al. [78]

Power-based SCA

Self-Referencing

Compares an IC instance’s transient current signature at different time instances

RTL Trojan

2011

Zhang [132]

Logic Testing

N.A.

Formal verification and code coverage analysis of redundant and unused parts of the design are identified followed by the ATPG

RTL Trojan

2011

Li et al. [70]

Delay-based SCA

Self-Referencing

Predicted delay of a path is compared against on-chip delay fingerprint

RTL Trojan

2012

Paper

Detection technique

Golden reference model

Methodology

Adversary model

Years

Lamech et al. [66]

Delay-based SCA

Simulation

Use of delay chain to validate delay measurement

Transistor Trojan

2012

Zhang et al. [129]

Power-based SCA

Self-Referencing

Detection of outliers by comparing measured signature with other measured signatures

Transistor Trojan

2012

Cha et al. [27]

Delay-based SCA

Simulation

Selection of paths having the smallest delays as integer linear programming problem

Transistor Trojan

2013

Forte and Bao et al. [18, 45]

Temperature-based SCA

Simulation

Comparison of thermal model of IC at three phases: design, test, and run-time

RTL Trojan

2013, 2015

Hu and Nomroz et al. [56, 85]

Temperature-based SCA

Simulation

Multimodal characterization using thermal maps and power maps to detect and locate HT

RTL Trojan

2013, 2014

Hou et al. [55]

Power-based SCA

Simulation

Intrinsic relationship between transient current and static current to reduce PV

RTL Trojan

2014

Yoshimizu et al. [128]

Delay-based SCA

Self-Referencing

Use of symmetry in different transistor-level paths

RTL Trojan

2014

Soll et al. [106]

EM-based SCA

Simulation

EM emission of HT-infected FPGAs is compared with the golden model

RTL Trojan

2014

Liu et al. [71]

Power-based SCA

Self-Referencing

Use of on-chip process control monitors to capture PV

RTL Trojan

2014

Wilcox et al. [117]

Power-based SCA

Simulation

Chip-averaging method targeted for removing intra-die variations

Transistor Trojan

2015

Ismari et al. [59]

Delay-based SCA

Simulation

On-chip embedded test structure to reduce effects of intra-die PV

Transistor Trojan

2015

Ngo et al. [79]

Delay-based SCA

Simulation

Use of clock glitches to measure path delay fingerprints

Transistor Trojan

2015

Exurville et al. [42]

Delay-based SCA

Simulation

Clock glitches combined with statistical techniques to reduce PV

Transistor Trojan

2015

Ngo et al. [79, 81]

EM-based SCA

Simulation

Golden AES encryption hardware execution EM traces and HT-infected AES hardware execution traces with the same plaintext are compared

Transistor Trojan

2015, 2016

Balasch et al. [12]

EM-based SCA

Simulation

Use of Welch’s t test to determine golden fingerprint

RTL Trojan

2015

Saha et al. [99]

Logic Testing

N.A.

[30] extended using genetic algorithms and SAT solvers

RTL Trojan

2015

Jap et al. [61]

EM-based SCA

Machine Learning

Support Vector Machine (SVM)-based EM side-channel profiling

Transistor Trojan

2016

Xue et al. [125]

Power-based SCA

Machine Learning

HT detection problem is expressed as a two-class machine learning classification problem

Transistor Trojan

2016

Paper

Detection technique

Golden reference model

Methodology

Adversary model

Years

Zheng et al. [133]

Power-based SCA

Self-Referencing

Analyze dynamic current values in self-similar structures of IC and compared

Transistor Trojan

2016

Huang et al. [57, 58]

ATPG with SCA

N.A.

Hamming-distance-based reordering and simulation-based reordering of test vectors to improve sensitivity to SCA

Transistor Trojan

2016, 2018

Esirci et al. [41]

Delay-based SCA

Simulation

Statistical analysis of delays in correlated paths

RTL Trojan

2017

He et al. [52]

EM-based SCA

Simulation

Simulation data from RTL design is used to generate the EM fingerprint

RTL Trojan

2017

Sree et al. [93, 95]

ATPG with SCA

Self-Referencing

Circuit design is divided into segments and the set of input patterns are applied to extract the power signature

RTL Trojan

2017

Amelian et al. [8]

Delay-based SCA

Simulation

Comparison of delay of K shortest paths with golden IC

RTL Trojan

2018

Cui et al. [38]

Delay-based SCA

Simulation

Use of the order of path delay in path pairs

RTL Trojan

2018

Fournaris et al. [46]

Multi Parameter

Simulation

Use of combination of both logic testing and side-channel testing at different stage of operation

RTL Trojan

2018

Xue et al. [123, 124]

Power-based SCA

Machine Learning

Co-training-based HT detection technique similar to [125]

RTL Trojan

2018, 2019

Xue and Ren [122]

Power-based SCA

Self-Referencing

Modeling of static power consumption by clock tree and gates for fingerprinting

RTL Trojan

2018

Cruz et al. [37]

Logic Testing

N.A.

IC is partitioned based on an inserted scan chain and model checking technique is combined with ATPG

RTL Trojan

2018

Chen et al. [31]

EM-based SCA

Simulation

Detection by analyzing the EM radiation of clock trees in an IC

RTL Trojan

2019

Nguyen et al. [82]

Backscattering SCA

Simulation

Sinusoidal EM signal is transmitted and the backscattered signal is received is compared to detect HT

RTL Trojan

2019

Lyu et al. [74]

ATPG with SCA

N.A.

GA-based test generation algorithm to increase HT detection sensitivity

RTL Trojan

2019

Lyu et al. [75]

ATPG with SCA

N.A.

SAT-based ATPG technique to assist HT detection by delay-based SCA

RTL Trojan

2020

Nigh et al. [83]

ATPG with SCA

N.A.

Trojan detection sensitivity is increased from the maximum S-RPD intra-die PV

RTL Trojan

2020

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Mukherjee, R., Rajendran, S.R. & Chakraborty, R.S. A comprehensive survey of physical and logic testing techniques for Hardware Trojan detection and prevention. J Cryptogr Eng 12, 495–522 (2022). https://doi.org/10.1007/s13389-022-00295-w

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s13389-022-00295-w

Keywords

Navigation