Skip to main content
Log in

Low Complexity Reconfigurable Turbo Decoder for Wireless Communication Systems

  • Research Article - Computer Engineering and Computer Science
  • Published:
Arabian Journal for Science and Engineering Aims and scope Submit manuscript

Abstract

The development of turbo codes has allowed for near-Shannon limit information transfer in modern communication systems. Although turbo decoding is viewed as superior to alternate decoding techniques, the circuit complexity and power consumption of turbo decoder implementations can often be prohibitive for power-constrained systems. To address these issues, a reduced complexity, low power turbo decoder is proposed, specifically optimized for contemporary FPGA devices. The key power-saving technique in this work is the use of decoder run-time dynamic reconfiguration for different constraint lengths. Max-Log-MAP algorithm, which offers a good compromise between performance and complexity, is selected for implementation. One of the components of this algorithm namely, the branch metric calculation unit is studied and a new design of this unit is proposed. The branch metric normalization scheme proposed here builds upon a sliding window approach and is capable of providing high speed. The implementation of SISO-based turbo decoder with three different constraint lengths (K) on a field programmable gate array (FPGA) achieves a speed of 86.08 } more than the conventional design. The power consumption of the device for various constraint lengths is measured using synopsis design compiler- simplicity premier with DCP 2008 tool. The proposed reconfigurable architecture for a constraint length ‘K’ consumes very few megawatt of power more than the non-reconfigurable architecture for the corresponding constraint length.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Consultative Committee for Space Data signals. Telemetry channel coding. Blue Book 101.0-U-4. CCSDS (1999)

  2. Technical Specification Group Radio Access Network Multiplexing and channel Coding (PUD). 3rd Generation Partnership Project, 3G TS25.212 V3.1.0 (1999)

  3. Wu, P.H.Y.; Pisuk, S.M.: Implementation of a low complexity, low power integer-based turbo decoder. In: Global Tele communication Conference, 2001, GLOBALCOM ’01, 25–29 Nov, vol. 2, pp. 946–951. IEEE (2001)

  4. Lin, Y.; et al.: Design and implementation of turbo decoders for software defined radio. In: IEEE Workshop on Signal Processing Design and Implementation (SIPS), Oct, pp. 22–27 (2006)

  5. Shin M.C., Park I.C.: SIMD processor-based turbo decoder supporting multiple third- generation wireless standards. IEEE Trans. VLSI 15, 801–810 (2007)

    Article  Google Scholar 

  6. Muller, O.; Baghdadi, A.; Jezequel, M.: ASIP-Based multiprocessor SOC design for simple and double binary turbo decoding. In DATE’06 1, 1–6 Mar (2006)

  7. Karim S.M., Chakrabarti I.: improved low-power high-throughput log-map turbo decoder. IEEE Trans. Consum. Electron. 56(2), 450–457 (2010)

    Article  Google Scholar 

  8. Martina M., Nicola M., Masera G.: A flexible UMTS-WiMax turbo decoder architecture. IEEE Trans. Circuits Syst. II Express Briefs 55(4), 369–373 (2008)

    Article  Google Scholar 

  9. Sun, Y.; Zhu, Y.; Goel, M.; Cavallaro, J.R.: Configurable and scalable high throughput turbo decoder architecture for multiple 4G wireless standards. In: IEEE International Conference on Application-specific System, Architectures and Processors, July, pp. 209–214 (2008)

  10. Miymchi, T.; Yamamalo, K.; Yakokawa, T.; Kan, M.; Mituzuni, Y.; Hattori, M.: High performance programmable SISO decoder VLSI implementation for decoding turbo codes. Global Tele-Communications Conference 200, 25–29 Nov, vol. 1. IEEE (2001)

    Google Scholar 

  11. Rickerstaff, M.; Gsrren, D.; Prokop, T.; Thomas, C.; Widdup, U.; Gongyu, T.; Nicol, C. Ran- Hong Yan: A unified turbo/viterbi channel decoder for 3GPP mobile wireless in 0.18 m CMOS. Solid-State circuits Conference, 2002. Digest of Technical Papers. ISSCC. 2002, Feb 3–7, vol. I, pp. 124–451. IEEE International (2002)

  12. David, H.; Meyer, H.: Real-time algorithms and VLSI architectures for soft output MAP convolutional decoding. In: IEEE International Symposium on PIMRC, Sept., vol. 1, pp. 193–197 (1995)

  13. Essa S.A., Khalil E.F., Jasim N.S.: The effect of constraint length and interleaver on the performance of turbo code Eng. Tech. J. 28(4), 743–760 (2010)

    Google Scholar 

  14. Berrou, C.; Glavieux, A.; Thitimajshima P.: Near shannon limit error-correcting coding and decoding: turbo-codes. In: IEEE International Conference on communication, May 23–26, vol. 2, pp. 1064–1070 (1993)

  15. Robertson, P.; Villebrun, E.; Hoeher, P.: A comparison of optimal and sub optimal MAP decoding operating in the log domain. In: Proceedings of IEEE International Conference on Communications, June, pp. 1009–1013 (1995)

  16. Valenti, M.: Iterative detection and decoding of wireless communications. Ph.D thesis, Virginia Polytechnic and State University, July (1999)

  17. Viterbi J.: An intuitive justification and a simplified implementation of the MAP decoder for convolutional codes. IEEE J. Sel. Areas Commun. 16(2), 260–264 (1998)

    Article  Google Scholar 

  18. Montorsi G., Benedetto S.: Design of fixed-point iterative decoders for concatenated codes with interleavers. IEEE J. Sel. Areas Commun. 19(5), 871–882 (2001)

    Article  Google Scholar 

  19. Michel H., Wehn N.: quantization for UMTS. Commun. Lett. IEEE 5(2), 55–57 (2001)

    Article  Google Scholar 

  20. Montorsi, G.; Benedetto, S.: Design of fixed-point iterative decoders for concatenated codes with interleavers. In: Global Telecommunication conference GLOBECOM, vol. 2, pp. 801–806 (2000)

  21. Wang, Z.; Suzuki, H.; Pahri, K.K.: VLSI implementation issues of turbo decoder design for wireless applications. In: Proceedings of IEEE International Workshop on Signal Processing System, pp. 503–512 (1999)

  22. Mathana, J.M.; Rangarajan, P.: FPGA implementation of high speed architecture for Max Log Map turbo SISO decoder. Int. J. Recent Trends Eng. 2, 142–146. ISSN: 1797-9617 (2009)

    Google Scholar 

  23. Gilardi, G.; De Rosa, C.A.: Designing of convolutional interleavers with virtex devices, XAPP222 (v1.0), Sept 27, pp. 1–6 (2000)

  24. Ahmed, I.; Arslan, T.: VLSI design of multi standard turbo decoder for 3G and beyond. In: Design automation Conference, ASP-DAC’07, pp. 589–594 (2007)

  25. Bickerstaff M., Garrett D., Prokop T., ThomasC. , Widdup B., Zhou G., Nicol C., Yan R.-H.: unifed turbo/viterbi channel decoder for 3GPP obile wireless in 0.18 m CMOS. IEEE J. Solid State Circuits 37(11), 1555–1564 (2002)

    Article  Google Scholar 

  26. Shin M.C., Shin M.C.: SIMD processor-based turbo decoder supporting multiple third- generation wireless standards. IEEE Trans. Very Large Scale Integr. Syst. 15(7), 801–810 (2007)

    Article  Google Scholar 

  27. Benkeser C., Burg A., Cupaiuolo T., Huang Q.: Design and optimization of an HSDPA turbo decoder. ASIC J. Solid State Circuits 10, 1–9 (2009)

    Google Scholar 

  28. Kim, J.-H.; Park, I.-C.: A unified parallel radix-4 turbo decoder for mobile WiMAX and 3GPP-LTE. In: Proceedings of IEEE Custom Integrated Circuits Conference, Sept, pp. 487–490 (2009)

  29. Lin, C.-H.; Chen, C.-Y.; Wu, A.-Y.; Tsai, T.-H.: Low-power memory reduced trace back MAP decoding for double-binary convolutional turbo decoder. IEEE Trans. Circuits Syst. I Reg. Papers 56(5), 1005–1016 (2009)

    Google Scholar 

  30. Wong C.-C., Wong C.-C.: turbo decoder with parallel architecture for 3GPP LTE system. IEEE Trans. Circuits Syst. II Express Briefs 57(7), 566–570 (2010)

    Article  Google Scholar 

  31. Bickerstaff, M.; Davis, L.; Thomas, C.: A 24 Mb/s radix-4 Log Map Turbo decoder for 3GPP-HSDPA mobile wireless. IEEE ISSCC Dig. Tech. Papers, pp. 150–151 (2003)

  32. Bougard, B.; et al.: A scalable 8.7-nJ/bit 75.6-Mb/s parallel concatenated Convolutional (Turbo) codec. In: IEEE International Solid-State Circuit Conference (ISSCC), Feb (2003)

  33. Lee, S.J.; Shanbhag, N.R.; Singer, A.C.: A 285-MHz pipelined MAP decoder in 0.18 μm CMOS. IEEE J. Solid State Circuits 40(8), 1718–1725 (2005)

    Google Scholar 

  34. May, M.; Ilnseher, T.; When, N.; Raab, W.: A 150 Mbit/s 3GPP LTE turbo code decoder. In: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 1420–1425. European Design and Automation Association (2010)

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to J. M. Mathana.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Mathana, J.M., Rangarajan, P. & Perinbam, J.R.P. Low Complexity Reconfigurable Turbo Decoder for Wireless Communication Systems. Arab J Sci Eng 38, 2649–2662 (2013). https://doi.org/10.1007/s13369-012-0495-8

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s13369-012-0495-8

Keywords

Navigation