Skip to main content
Log in

Toward residual-layer-free nanoimprint lithography in large-area fabrication

  • Themed Reviews
  • Published:
Korea-Australia Rheology Journal Aims and scope Submit manuscript

Abstract

In the paper, residual-layer-free nanoimprint lithography for large-area fabrication is reviewed. In order to remove the residual layer during the imprint process, polymer resists and mold materials should be designed with the aspects of surface chemistry and mold geometries in mind. Various approaches for residual-layerfree nanoimprint lithography are discussed including incomplete filling by polymer mass, reverse imprint methods, self-removal techniques, and the employment of elastomeric mold deformation. In addition, issues that must be overcome to enable large-area roll-to-roll nanoimprinting without a residual layer are presented.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  • Ahn, S., J. Cha, H. Myung, S. Kim and S. Kang, 2006, Continuous ultraviolet roll nanoimprinting process for replicating large-scale nano- and micropatterns, Appl. Phys. Lett. 89, 213101.

    Article  Google Scholar 

  • Ahn, S.H. and L.J. Guo, High-speed roll-to-roll nanoimprint lithography on flexible plastic substrates, 2008, Adv. Mater. 20, 2044.

  • Ahn, S.H. and L.J. Guo, 2009, Large area roll-to-roll and roll-toplate nanoimprint lithography: a step toward high-throughput application of continuous nanoimprinting, ACS Nano 3, 2304.

    Article  Google Scholar 

  • Almanza-Workman, A.M., C.P. Taussig, A.H. Jeans and R.L. Cobene, 2011, Fabrication of three-dimensional imprint lithography templates by colloidal dispersions, J. Mater. Chem. 21, 14185.

    Article  Google Scholar 

  • Auner, C., U. Palfinger, H. Gold, J. Kraxner, A. Haase, T. Haber, M. Sezen, W. Grogger, G. Jakopic, J.R. Krenn, G. Leising and B. Stadlober, 2009, Residue-free room temperature UVnanoimprinting of submicron organic thin film transistors, Org. Elec. 10, 1466.

    Article  Google Scholar 

  • Auner, C., U. Palfinger, H. Gold, J. Kraxner, A. Haase, T. Haber, M. Sezen, W. Grogger, G. Jakopic, J.R. Krenn, G. Leising and B. Stadlober, 2010, High-performing submicron organic thinfilm transistors fabricated by residue-free embossing, Organic Electronics 11 552.

    Article  Google Scholar 

  • Austin, M.D. and S.Y. Chou, 2002, Fabrication of 70 nm channel length polymer organic thin-film transistors using nanoimprint lithography, Appl. Phys. Lett. 81, 4431.

    Article  Google Scholar 

  • Bailey, T., B.J. Choi, M. Colburn, M. Meissi, S. Shaya, J.G. Ekerdt, S.V. Sreenivasan and C.G. Wilson, 2000, Step and flash imprint lithography: Template surface treatment and defect analysis, J. Vac. Sci. Technol., B 18, 3572.

    Article  Google Scholar 

  • Bao, L.R., X. Cheng, X.D. Huang, L.J. Guo, S.W. Pang and A.F. Yee, 2002, Nanoimprinting over topography and multilayer three-dimensional printing, J. Vac. Sci. Technol. B 20, 2881.

    Article  Google Scholar 

  • Bessonov, A., J.W. Seo, J.G. Kim, E.S. Hwang, J.W. Lee, J.W. Cho, D.J. Kim and S. Lee, 2011, Control over pattern fidelity and surface wettability of imprinted templates for flexible color filter manufacturing, Microelectron. Eng. 88, 2913.

    Article  Google Scholar 

  • Bogdanski, N., M. Wissen, A. Ziegler, and H.-C. Sheer, 2005, Instrumented indentation testing for local characterization of polymer properties after nanoimprint, Microelectron. Eng. 78–79, 598.

    Article  Google Scholar 

  • Cheng, X., Y. Hong, J. Kanicki and L.J. Guo, 2002, High-resolution organic polymer light-emitting pixels fabricated by imprinting technique, J. Vac. Sci. Technol. B 20, 2877.

    Article  Google Scholar 

  • Cheng, X. and L.J. Guo, 2004, A combined-nanoprint-and-photolithography patterning technique, Microelectron. Eng. 71, 277.

    Article  Google Scholar 

  • Cheng, X., D. Li and L.J. Guo, 2006, A hybrid mask-mould lithography scheme and its application in nanoscale organic thin film, Nanotechnology 17, 927.

    Article  Google Scholar 

  • Choi, S.J., P.J. Yoo, S.J. Beak, T.W. Kim and H.H. Lee, 2004, An ultraviolet-curable mold for sub-10-nm lithography, J. Am. Chem. Soc. 126, 7744.

    Article  Google Scholar 

  • Choi, S.J., D. Tahk and H. Yoon, 2009, Spontaneous dewettinginduced residue-free patterning at room temperature, J. Colloid Interf. Sci. 340, 74.

    Article  Google Scholar 

  • Chou, S.Y., P.R. Krauss and P.J. Renstrom, 1996, Imprint lithography with 25-nanometer resolution, Science 272, 85.

    Article  Google Scholar 

  • Chou, S.Y., P.R. Krauss, W. Zhang, L. Guo and L. Zhuang, 1997, Sub-10nm imprint lithography and applications, J. Vac. Sci. Technol. B, 15, 2897.

    Article  Google Scholar 

  • Chuang, C.H., S.W. Tsai, J.F. Lin and C.P. Chen, 2011, Fabrication of multi-functional optical films by using a ultraviolet curing roll-to-roll system, Jpn. J. Appl. Phys. 50, 06GK01.

    Article  Google Scholar 

  • Chung, Y.C., Y.H. Chiu, H.J. Liu, Y.F. Chang, C.Y. Cheng and F.C.N. Hong, 2006, Ultraviolet curing imprint lithography on flexible indium tin oxide substrates, J. Vac. Sci. Technol. B 24, 1377.

    Article  Google Scholar 

  • Clivia M. Sotomayor Torres, 2003, Alternative Lithography: Unleashing the Potentials of Nanotechnology, Kluwer Academic, 47

    Google Scholar 

  • Colburn, M., S. Johnson, M. Stewart, S. Damle, B.J. Choi, T. Bailey, M. Wedlake, T. Michaelson, S.V. Sreenivasan, J. Ekert and C.G. Willson, 1999, Step and flash imprint lithography: a new approach to high-resolution patterning, Proc. SPIE 3676, 379.

    Article  Google Scholar 

  • Costner, E.A., M.W. Lin, W. Jen, and C.G. Willson, 2009, Nanoimprint lithography materials development for semiconductor device fabrication, Annu. Rev. Mater. Res. 39, 155.

    Article  Google Scholar 

  • de Gennes, P.-G., F. Brochard-Wyart and D. Quéré, 2004, Capillarity and Wetting Phenomena: Drops, Bubbles, Pearls, Waves, Springer, New York

    Book  Google Scholar 

  • Dumond, J and H.Y. Low, 2008, Residual Layer Self-Removal in Imprint Lithography, Adv. Mater. 20, 1291.

    Article  Google Scholar 

  • Dumond, J.J. and H.Y. Low, 2012, Recent developments and design challenges in continuous roller micro-and nanoimprinting, J. Vac. Sci. Technol. B 30, 010801.

    Article  Google Scholar 

  • Fagan, M.D., B.H. Kim and D.G. Yao, 2009, A novel process for continuous thermal embossing of large-area nanopatterns onto polymer films, Adv. Polym. Technol. 28, 246.

    Article  Google Scholar 

  • Gates, B.D. et al., 2005, New approaches to nanofabrication: molding, printing, and other techniques. Chem. Rev. 105, 1171.

    Article  Google Scholar 

  • Gourgon, C., C. Peret, G. Micouin, F. Lazzarino, J.H. Tortai, O. Jorbert and J.-P.E. Grolier, 2003, Influence of pattern density in nanoimprint lithography, J. Vac. Sci. Technol. B 21, 98.

    Article  Google Scholar 

  • Guo L.J., 2007, Nanoimprint lithography: methods and material requirements, Adv. Mater. 19, 495.

    Article  Google Scholar 

  • Hong, P.S., J. Kim and H.H. Lee, 2006, Contrast modified roomtemperature imprint lithography, Appl. Phys. Lett. 88, 173105.

    Article  Google Scholar 

  • Hu, W., E.K.F. Yim, R.M. Reano, K.W. Leong and S.W. Pang, 2005, Effects of nanoimprinted patterns in tissue-culture polystyrene on cell behavior, J. Vac. Sci. Technol. B 23, 2984.

    Article  Google Scholar 

  • Huang, T., J. Wu, S. Yang, P. Huang and S. Chang, 2009, Direct fabrication of microstructures on metal roller using stepped rotating lithography and electroless nickel plating, Microelectron. Eng. 86 615.

    Article  Google Scholar 

  • Hwang, J.K., S. Cho, J.M. Dang, E.B. Kwak, K. Song, J. Moon and M.M. Sung, 2010, Direct nanoprinting by liquid-bridgemediated nanotransfer moulding, Nat. Nanotech. 5, 742.

    Article  Google Scholar 

  • Kim, M.J., S. Song and H.H. Lee, 2006, A two-step dewetting method for large-scale patterning. J. Micromech. Microeng. 16, 1700.

    Article  Google Scholar 

  • Inannami, R., T. Ojima, K. Matsuki, T. Kono and T. Nakasugi, 2012, Sub-100 nm pattern formation by roll-to-roll nanoimprint, Proc. SPIE 8323, 83231J.

    Article  Google Scholar 

  • Israelachvili, J., 1992, Intermolecular & surface forces, Academic Press, London.

    Google Scholar 

  • Jackman, R.J., D.C. Duffy, E. Ostuni, N.D. Willmore and G.M. Whitesides, 1998, Fabricating Large Arrays of Microwells with Arbitrary Dimensions and Filling Them Using Discontinuous Dewetting, Anal. Chem. 70, 2280.

    Article  Google Scholar 

  • Jackson, W.B., 2008, Active-matrix backplanes produced by roll-to-roll self aligned imprint lithography (SAIL), in: SID International Symposium Digest of Technical Papers 39, 322.

    Article  Google Scholar 

  • Jain, A. and R.T. Bonnecaze, 2013, Fluid management in roll-toroll nanoimprint lithography, J. Appl. Phys. 113, 234511.

    Article  Google Scholar 

  • Jeans, A., M. Almanza-Workman, R. Cobene, R. Elder, R. Garcia, F. Gomez-Pancorbo, W. Jackson, M. Jam, J.J. Kim, O. Kwon, H. Luo, J. Maltabes, P. Mei, C. Perlov, M. Smith, C. Taussig, F. Jeffrey, S. Braymen, J. Hauschildt, K. Junge, D. Larson and D. Stieler, 2010, Alternative lithographic technologies ii, Proc. SPIE 7637, 763719.

    Article  Google Scholar 

  • John, J., Y.Y. Tang, J.P. Rothstein, J.J Watkins and K.R. Carter, 2013, Large-area, continuous roll-to-roll nanoimprinting with PFPE composite molds, Nanotechnology 24, 505307.

    Article  Google Scholar 

  • Jung, G.Y., S. Ganapathiappan, X. Li, D.A.A. Ohlberg, D.L. Olynick, Y. Chen, W.M. Tong and R.S. Williams, 2004, Fabrication of molecular-electronic circuits by nanoimprint lithography at low temperatures and pressures, Appl. Phys. A: Mater. Sci. & Process. 78, 1169.

    Article  Google Scholar 

  • Jung, Y. and X. Cheng, 2012, Dual-layer thermal nanoimprint lithography without dry etching, J. Micromech. Microeng. 22, 085011.

    Article  Google Scholar 

  • Kam, A.P., J. Seekamp, V. Solovyev, C.C. Cedeno, A. Goldschmidt and C.M.S. Torres, 2004, Nanoimprinted organic field-effect transistors: fabrication, transfer mechanism and solvent effects on device characteristics, Microelectron. Eng. 73–74, 809.

    Article  Google Scholar 

  • Kang, M.G., H.J. Park, S.H. Ahn and L.J. Guo, 2010, Transparent Cu nanowire mesh electrode on flexible substrates fabricated by transfer printing and its application in organic solar cells, Sol. Energy Mater. Sol. Cells 94, 1179.

    Article  Google Scholar 

  • Kao, P.C., S.Y. Chu, C.Y. Zhan, L.C. Hsu and W.C. Liao, 2006, Fabrication of organic light-emitting devices on flexible substrates using a combined roller imprinting and photolithography-patterning technique, J. Vac. Sci. Technol. B 24, 1278.

    Article  Google Scholar 

  • Kao, Y.C. and F.C.N. Hong, 2011, Residual-layer-free direct printing by selective filling of a mould, J. Micromech. Microeng. 21, 025026.

    Article  Google Scholar 

  • Kim, E., Y. Xia and G.M. Whitesides, 1995, Making polymeric microstructures: capillary micromolding, Nature 376, 581.

    Article  Google Scholar 

  • Kim, E., Y. Xia, X.M. Zhao and G.M. Whitesides, 1997, Solventassisted microcontact molding: A convenient method for fabrication three-dimensional structures on surfaces of polymers, Adv. Mater. 9, 651.

    Article  Google Scholar 

  • Kim, K., J. Jeong, Y. Sim and E. Lee, 2006, Minimization of residual layer thickness by using the optimized dispensing method in S-FILTM process, Microelectron. Eng. 83, 847.

    Article  Google Scholar 

  • Kim, M.J., S. Song, S.J. Kwon and H.H. Lee, 2007, Trapezoidal Structure for Residue-Free Filling and Patterning, J. Phys. Chem. C 111, 1140.

    Article  Google Scholar 

  • Kim, Y.S., K.Y. Suh and H.H. Lee, 2001, Fabrication of threedimensional microstructures by soft molding, Appl. Phys. Lett. 79, 2285.

    Article  Google Scholar 

  • Kim, Y.S., J. Park and H.H. Lee, Three-dimensional pattern transfer and nanolithography: modified soft molding, 2002, Appl. Phys. Lett. 81, 1011.

    Article  Google Scholar 

  • Kim, Y. S., H.H. Lee and P.T. Hammond, 2003, High density nanostructure transfer in soft molding using polyurethane acrylate molds and polyelectrolyte multilayers. Nanotechnology 14, 1140.

    Article  Google Scholar 

  • Kiyohara, S., M. Fujiwara, F. Matsubayashi and K. Mori, 2005, Organic Light-Emitting Microdevices Fabricated by Nanoimprinting Technology Using Diamond Molds, Jpn. J. Appl. Phys. Part 144, 3686.

    Article  Google Scholar 

  • Lee, H. and G.-Y. Jung, 2005, Full wafer scale near zero residual nano-imprinting lithography using UV curable monomer solution, Microelectron. Eng. 77, 42.

    Article  Google Scholar 

  • Leising, G., B. Stadlober, U. Haas, A. Haase, C. Palfinger, H. Gold and G. Jakopic, 2006, Nanoimprinted devices for integrated organic electronics, Microelectron. Eng. 83, 831.

    Article  Google Scholar 

  • Liao, W.-C. and S.L.-C. Hsu, 2004, High aspect ratio pattern transfer in imprint lithography using a hybrid mold, J. Vac. Sci. Technol. B, 22, 2764.

    Article  Google Scholar 

  • Lim, H., K.B. Choi, G. Kim, S. Park, J. Ryu and J. Lee, 2011, Roller nanoimprint lithography for flexible electronic devices of a sub-micron scale, Microelectron. Eng. 88, 2017.

    Article  Google Scholar 

  • Mele, E., F.D. Benedetto, L. Persano, R. Cingolani and D. Pisignano, 2005, Multilevel room-temperature nanoimprint lithography for conjugated polymer-based photonics, Nano Lett. 5, 1915.

    Article  Google Scholar 

  • Park, H. and X. Cheng, 2009, Thermoplastic polymer patterning without residual layer by advanced nanoimprinting schemes, Nanotechnology 20, 245308.

    Article  Google Scholar 

  • Pisignano, D., A. Melcarne, D. Mangiullo, R. Cingolani and G. Gigili, 2004, Nanoimprint lithography of chromophore molecules under high-vacuum conditions, J. Vac. Sci. Technol. B 22, 185.

    Article  Google Scholar 

  • Rogers, J.A., M. Meier and A. Dodabalapur, 1998, Using Stamping and Molding Techniques to Produce Distributed Feedback and Bragg Reflector Resonators For Plastic Lasers, Appl. Phys. Lett. 73, 1766.

    Article  Google Scholar 

  • Rogers, J.A. and R.G. Nuzzo, 2005, Recent progress in soft lithography. Mater. Today 8, 50.

    Article  Google Scholar 

  • Rogers, J.A. and H.H. Lee, 2009, Unconventional Nanopatterning Techniques and Applications, Wiley, New Jersey.

    Google Scholar 

  • Rolland, J.P., E.C. Hagberg, G.M. Denison, K.R. Carter and J.M. de Simone, 2004, High-resolution soft lithography: enabling materials for nanotechnologies, Angew. Chem. Int. Edn. 43, 5796.

    Article  Google Scholar 

  • Schulz, H., M. Wissen and H.-C. Scheer, 2003, Local mass transport and its effect on global pattern replication during hot embossing, Microelectron. Eng. 67–68, 657.

    Article  Google Scholar 

  • Seo, S.M., T.I. Kim and H.H. Lee, 2007, Simple fabrication of nanostructure by continuous rigiflex imprinting, Microelectron. Eng. 84, 567.

    Article  Google Scholar 

  • Stuart, C. and Y. Chen, 2009, Roll in and roll out: a path to highthroughput nanoimprint lithography ACS Nano 3, 2062.

    Google Scholar 

  • Suh, D., S.J. Choi and H.H. Lee, 2005, Rigiflex Lithography for Nanostructure Transfer, Adv. Mater. 17, 1554.

    Article  Google Scholar 

  • Suh, K.Y., Y.S. Kim and H.H. Lee, 2001, Capillary Force Lithography, Adv. Mater. 13, 1386.

    Article  Google Scholar 

  • Suh, K.Y., J. Park and H.H. Lee, 2002, Controlled polymer dewetting by physical confinement J. Chem. Phys. 116, 7714.

    Article  Google Scholar 

  • Tan, H., A. Gilbertson and Y.S. Chou, 1998, Roller nanoimprint lithography, J. Vac. Sci. Technol. B 16, 3926

    Article  Google Scholar 

  • Vig, A.L., T. Makela, P. Majander, V. Lambertini, J. Ahopelto and A. Kristensen, 2011, Roll-to-roll fabricated lab-on-a-chip devices, J. Micromech. Microeng. 21, 035006.

    Article  Google Scholar 

  • Williams, S.S., S. Retterer, R. Lopez, R. Ruiz, E.T. Samulski and J.M. de Simone, 2010, High-resolution PFPE-based molding techniques for nanofabrication of high-pattern density, sub-20 nm features: a fundamental materials approach, Nano Lett. 10, 1421.

    Article  Google Scholar 

  • Wu, J.T. and S.Y. Yang, 2010, A gasbag-roller-assisted UV imprinting technique for fabrication of a microlens array on a PMMA substrate, J. Micromech. Microeng. 20, 085038.

    Article  Google Scholar 

  • Xia, Y. and G.M. Whitesides, 1995, Use of controlled reactive spreading of liquid alkanethiol on the surface of gold to modify the size of features produced by microcontact Printing, J. Am. Chem. Soc. 117, 3274.

    Article  Google Scholar 

  • Xia, Y. and G.M. Whitesides, 1998, Soft Lithography, Angew. Chem. Int. Ed. 37, 550.

    Article  Google Scholar 

  • Xia, Y., J.A. Rogers, K.E. Paul and G.M. Whitesides, 1999, Unconventional Methods for Fabricating and Patterning Nanostructures, Chem. Rev. 99, 1823.

    Article  Google Scholar 

  • Yang, K.Y., K.M. Yoon, J.W. Kim, J.H. Lee and H. Lee, 2009, Low Temperature Fabrication of Residue-Free Polymer Patterns on Flexible Polymer Substrate, Jpn. J. Appl. Phys. 48, 095003.

    Article  Google Scholar 

  • Yeo, L.P., S.H. Ng, Z.F. Wang, H.M. Xia, Z.P. Wang, V.S. Thang, Z.W. Zhong and N.F. de Rooij, 2010, Investigation of hot roller embossing for microfluidic devices, J. Micromech. Microeng. 20, 015017.

    Article  Google Scholar 

  • Yoon, H., K.M. Lee, D.Y. Khang, H.H. Lee and S.J. Choi, 2004, Rapid flash patterning of nanostructures, Appl. Phys. Lett. 85, 1793.

    Article  Google Scholar 

  • Yoon, H., M.K. Choi, K.Y. Suh and K. Char, 2010, Self-modulating polymer resist patterns in pressure-assisted capillary force lithography, J. Colloid Interf. Sci. 346, 476.

    Article  Google Scholar 

  • Yoon, H., S.H. Lee, S.H. Sung, K.Y. Suh and K. Char, 2011, Mold Design Rules for Residual Layer-Free Patterning in Thermal Imprint Lithography, Langmuir 27, 7944.

    Article  Google Scholar 

  • Youn, S.W., M. Iwara, H. Goto, M. Takahashi and R. Maeda, 2008, Prototype development of a roller imprint system and its application to large area polymer replication for a microstructured optical device, J. Mater. Process. Technol. 202, 76.

    Article  Google Scholar 

  • Yun, D., Y. Son, J. Kyung, H. Park, C. Park and S. Lee, 2012, Development of roll-to-roll hot embossing system with induction heater for micro fabrication, Rev. Sci. Instrum. 83 015108.

    Article  Google Scholar 

  • Zaumseil, J., M.A. Meitl, J.W.P. Hsu, B.R. Acharya, K.W. Baldwin, Y.L. Loo and J.A. Rogers, 2003, Three-dimensional and multilayer nanostructures formed by nanotransfer printing, Nano Lett. 3 1223.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Hyunsik Yoon or Won Bo Lee.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Yoon, H., Lee, H. & Lee, W.B. Toward residual-layer-free nanoimprint lithography in large-area fabrication. Korea-Aust. Rheol. J. 26, 39–48 (2014). https://doi.org/10.1007/s13367-014-0005-5

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s13367-014-0005-5

Keywords

Navigation