Skip to main content
Log in

Compact modeling of through silicon vias for thermal analysis in 3-D IC structures

  • Published:
Sādhanā Aims and scope Submit manuscript

Abstract

Heat mitigation is a major challenge in 3-D IC (Three-Dimensional Integrated Circuit) realization. A study of analytical thermal behavior of the TSV (Through Silicon Via) is very important. Simple and compact yet other models were found deficient to solve this problem in the literature survey. In this paper, resistance networks are used to model the heat transfer of the TSVs in both vertical and horizontal directions in simpler and compact models. The accuracy of such models is compared to those from the commercially available CFD (computational fluid dynamics) tool. The errors of corrections between the tool and developed models are corrected by multiplication factors, resulting in 4.18% accuracy. Varying the thicknesses of a liner, filler, soldering, and substrate materials is studied concerning heat transfer and physical behavior of three planar TSV stacked systems. The major purpose is to incorporate both vertical and horizontal thermal resistance networks captured more accurately in heat dissipation paths. Proposed models of TSVs can be used in the active interposer simulations or the face-to-face fabrication stacked methods of the 3-D IC structures.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8

Similar content being viewed by others

Abbreviations

R 1, R 4, R 7 :

Resistances of the silicon substrate (Ω)

R s :

Resistance of the heatsink (Ω)

R 3, R 6, R 9 :

Resistances of the liner (Ω)

R 2, R 5, R 8 :

Resistances of the filler (Ω)

R x, R y :

Resistances of the bonding material (Ω)

R a, R c, R d, R f :

Resistances of TSV pads (Ω)

R b, R e :

Resistances of the soldering material (Ω)

ΔT :

Temperature difference (°C)

T 0T 7 :

Temperature nodes of the 3-D IC stack (no units)

q 1, q 2, q 3 :

Voltage sources (W/mm3)

x 1, x 2 :

Fitting coefficients (no units)

A 0 :

Footprint of experimental area (μm2)

A :

Larger area of the silicon substrate (μm2)

tSi :

Thickness of the silicon substrate (μm2)

t D :

Thickness of the ILD (Inter-Layer Dielectric) layer (μm2)

t b :

Thickness bonding layer (μm2)

k si :

Thermal conductivity of silicon substrate (W/m K)

k D :

Thermal conductivity of ILD (W/m K)

k b :

Thermal conductivity of bonding material (W/m K)

k L :

Thermal conductivity of liner (W/m K)

k f :

Thermal conductivity of filler (W/m K)

k s :

Thermal conductivity of soldering material (W/m K)

r :

Radius of TSV (µm)

t L :

Thickness of insulator liner (µm)

l ext :

TSV segment, extended into silicon substrates (μm2)

V p :

Volume of TSV pad (μm3)

V s :

Volume of soldering bump (μm3)

h :

Height/thickness of the soldering bump (µm)

References

  1. Moreau S and Bouchu D 2013 Reliability of Dual Damascene TSV for high dsity integration: the electromigration issue. In: Proceedings of the International Reliability Physics Symposium (IRPS)

  2. DOrio R L, Ceric H and Selberherr S 2012 Electromigration failure in a copper dual-damascene structure with a through silicon via. Microelectron. Reliab.y 52(9–10): 1981–1986

  3. Han K J, Swaminathan M and Bandyopadhyay T 2010 Electromagnetic modeling othrough-silicon vias (TSV) interconnections using cylindrical modal basis functions. IEEE Trans. Advanced Packag. 33(4): 804–817

    Article  Google Scholar 

  4. Govind Singh S V and Chuan Seng Tan Nanyang Technologies University 2009 Thermal mitigation using thermal through silicon via (TTSV) 3-D ICs. In: Proceedings of Impact

  5. Im. S and Banerjee 2000 Full chip thermal analysis of planar (2-D) and vertically integrated (3-D) high performance ICs. In: Proceedings of IEDM

  6. Eric Monier-Vinard and Valentin Najib 2014 Latest developments of compact thermal meling of system-in-package devices. In: Proceedings of the 14th IEEE ITherm Conference

  7. Grasad K, Michele S, Kristin D and Wim D 2010 Electrical modeling and. characterization of through silicon via for three-dimensional ICs. IEEE Trans. Electron Devices 57(1): 256–262

  8. Chiang T Y, Souri S, Chui C O and Saraswat K 2001 Thermal analysis of hetereneous 3-D ICs with various integration scenarios. In: Proceedings of the IEEE International Electron Devices Meeting

  9. Tmas F, Cedrick C, Patrick L, Lucile A, Stephane M, Aurelie T, Rebha E and Lorena A 2010 Reliability approach of high density through silicon via (TSV). In: Proceedings of the 12th Electronics Packaging Technology Conference

  10. Jiang L, Kolluri S, Rubin B J, Smith H, Colgan E G, Scheuermann M R, Wakit J A, Deutsch A and Gill J 2008 Thermal modeling of on-chip interconnects and 3-D packaging using EM tools. In: Proceedings of the Electrical Performance of Electronic Packaging Conference

  11. Mohamed-Nebil S and Hossam S 2007 Compact thermal models: a global approach. In: Proceedings of Thermal Issues in Emerging Technologies, ThETA 1, Mansoura University of Egypt, Cairo, Egypt

  12. Arifur Rahmann and Rafael Reif 2001 Thermal analysis of three-dimensional (3-D) integrated circuits (ICs). Microsystems Technology Laboratories, MIT, Cambridge, MA

    Google Scholar 

  13. Yang Y, Gu Z, Zhu C, Dick R P and Shang L 2007 ISAC: integrated space- and-time-adaptive chip-package thermal analysis. IEEE Trans. CAD 26(1): 86–99

    Article  Google Scholar 

  14. Huang W, Ghosh S, Velusamy S, Sankaranarayanan K, Skadron K and Stan M R 2006 HotSpot: a compact thermal modeling methodology for early-Stage VLSI design. IEEE Trans. VLSI Syst. 14(5): 501–513

    Article  Google Scholar 

  15. Li P, Pilegi L T, Asheghi M and Chandra R 2004 Efficient full-chip thermal modeling and analysis. In: Proceedings of the ICCAD

  16. Li R 1998 Optimization of thermal via design parameters based on an analytical thermal resistance model. In: Proceedings of the Intersociety Conference on Thermal Phenomena

  17. Jason Cong and Yan Zhang 2005 Thermal via planning for 3-D ICs. In: Proceedings of the ICCAD

  18. Haihua Su, Frank Liu, Anirudh Devgan, Emrah Acar and Sani Nassif 2003 Full chip leakage estimation considering power supply and temperature variations. In: Proceedings of ISLPED’O3, Seoul, Korea

  19. Ankur Jain, Robert E Jones, Ritwik Chatterjee, Scott Pozder and Zhihong Huang 2008 Thermal modeling and design of 3-D integrated circuits

  20. Brent Goplen and Sapatnekar S S 2006 Placement of thermal vias in 3-D ICs using various thermal objectives. IEEE Trans. CAD IC Syst. 25(4): 692–709

    Article  Google Scholar 

  21. Lau J H and Yue T G 2009 Thermal management of 3-D IC integration with TSV (through silicon via)

  22. Ayala J L, Arvind Sridhar, Vinod Pangracious, David Atienza and Yusuf Leblebici 2009 Through silicon via-based grid for thermal control in 3-D chips. In: Proceedings of NanoNet2009

  23. Madhavan Swaminathan 2012 Electrical design and modeling challenges for 3-D system integration. In: Proceedings of DesignCon

  24. Xiao-Peng Wang, Wen-sheng Zhao and Wen-Yan Yin 2010 Electrothermal modelling of through silicon via (TSV) interconnects. In: Proceedings of the IEEE Electrical Design of Advanced Package & Systems Symposium

  25. AutoCAD 2017 Users’ Guide 2017. Autodesk Inc.

  26. Min Ni, Qing Su, Zongwu Tang and Jamil Kawa 2010 An analytical study on the role of thermal TSVs in a 3D-IC chip stack. 700 East Middlefield Road, Mountain View, CA

  27. European Copper Institute (Copper Alliance) 2017 Alloy Cu–Sn0.15, [Online]. Available: http://conductivity-app.org (rendering date: 2017-05-16 20:54:42)

Download references

Acknowledgements

We thank Mr. Venkat Ghanta, Mr. Saumitra Kale, and Mr. Harshil Shah, the Directors of Engineering at Cisco Inc, for their continuous encouragement. We also thank Head of the Electronics and Communications Engineering Department, Dr. Arathi Shankar, B M S College of Engineering, for facilitating the project, on behalf of the college and Visveswaraya Technological University.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to CHANDRASHEKHAR V PATIL.

Supplementary Information

Below is the link to the electronic supplementary material.

Supplementary Information (XLSX 79 kb)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

PATIL, C.V., SUMA, M.S. Compact modeling of through silicon vias for thermal analysis in 3-D IC structures. Sādhanā 46, 35 (2021). https://doi.org/10.1007/s12046-020-01549-1

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s12046-020-01549-1

Keywords

Navigation