Skip to main content
Log in

Impacts of NBTI/PBTI on power gated SRAM

  • Published:
Journal of Central South University Aims and scope Submit manuscript

Abstract

A signal probability and activity probability (SPAP) model was proposed firstly, to estimate the impacts of the negative bias temperature instability (NBTI) and positive bias temperature instability (PBTI) on power gated static random access memory (SRAM). The experiment results show that PBTI has significant influence on the read and write operations of SRAM with power gating, and it deteriorates the NBTI effects and results in a up to 39.38% static noise margin reduction and a 35.7% write margin degradation together with NBTI after 106 s working time. Then, a circuit level simulation was used to verify the assumption of the SPAP model, and finally the statistic data of CPU2000 benchmarks show that the proposed model has a reduction of 3.85% for estimation of the SNM degradation after 106 s working time compared with previous work.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. SCHRODER D K, BABCOCK J A. Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing [J]. Journal of Applied Physics, 2003, 94(1): 1–18.

    Article  Google Scholar 

  2. BERNSTEIN K, FRANK D J, GATTIKER A E, HAENSCH W, JI B L, NASSIF S R, NOWAK E J, PEARSON D J, ROHRER N J. High-performance CMOS variability in the 65-nm regime and beyond, IBM [J]. Res & Dev, 2006, 50(4/5): 433–450.

    Google Scholar 

  3. BANSAL A, RAO R, KIM J J, ZAFAR S, STATHIS J H, CHUANG C T. Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability [J]. Microelectronics Reliability, 2009, 49(6): 642–649.

    Article  Google Scholar 

  4. ZAFAR S, KIM Y H, NARAYANAN V, CABRAL C, PARUCHURI V, DORIS B, STATHIS J, CALLEGARI A, CHUDZIK M. A comparative study of NBTI and PBTI (charge trapping) in SiO2/HfO2 stacks with FUSI, TiN, Re gates [C]// IEEE Symp VLSI Technol Dig Tech Paper. Hawaii, USA, 2006: 23–25.

    Google Scholar 

  5. CALIMERA A, MACII E, PONCINO M. Analysis of NBTI-induced SNM degradation in power-gated SRAM cells [C]// ISCAS 2010: Preceeding of 2010 IEEE International Symposium on Circuits and Systems. Paris, 2010: 785–788.

    Chapter  Google Scholar 

  6. YANG H I, HUANG W, CHUANG Ching-Te. Impacts of NBTI/PBTI and contact resistance on power-gated SRAM with high-k metal-gate devices [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2011, 29(7): 1192–1204.

    Article  Google Scholar 

  7. WANG W. Compact modeling and simulation of circuit reliability for 65-nm CMOS technology [J]. IEEE Transactions on Device and Materials Reliability, 2007, 7(4): 509–517.

    Article  Google Scholar 

  8. KUMAR S V. An analytical model for negative bias temperature instability [C]// ICCAD’06: IEEE/ACM international conference on Computer-aided design. San Jose, USA, 2006(11): 493–496.

    Chapter  Google Scholar 

  9. BHARDWAY S, WANG Wen-ping, VATIKONDA R, CAO Y, VRUDHULA S. Predictive modeling of the NBTI effect for reliable design [C]// IEEE 2006 Custom Integrated Circuits Conference. San Jose, Carlifornia, USA, 2006: 189–192.

    Chapter  Google Scholar 

  10. SHIN J, ZYUBAN V, BOSE P. A proactive wearout recovery approach for exploiting microarchitectural redundancy to extend cache SRAM lifetime [C]// Proc Int Symp Comput Architecture. Beijing, China, 2008: 353–362.

    Google Scholar 

  11. TIWARI A, TORRELLAS J. Facelift: Hiding and slowing down aging in multicores [C]// MICRO 2008: Proc Int Symp. Microarchitecture (MICRO), Como, Italy, 2008, 11: 129–140

    Google Scholar 

  12. ABELLA J, VERA X, GONZALEZ A. Penelope: The NBTI-aware processor [C]// MICRO 2007: Proc Int Symp Microarchitecture. Chicago, USA, 2007: 85–96.

    Google Scholar 

  13. KUMAR S V, KIM C H, SAPATNEKAR S S. Impact of NBTI on SRAM read stability and design for reliability [C]// Proc Int Symp Quality Electron Design. California, USA, 2006: 210–218.

    Google Scholar 

  14. Predictive Timing Model [EB/OL]. http://www.eas.asu.edu/~ptm/

  15. KANG K, KUFLUOGLU H, ROY K. Impact of negativebias temperature instability in nanoscale SRAM array: Modeling and analysis [J]. IEEE Trans Comput-Aided Des Integr Syst, 2007, 26(10): 1770–1781.

    Article  Google Scholar 

  16. LI Y M, SKADRON K, BROOKS D, HU Z G. Performance, energy and thermal considerations for SMT and CMP architectures [C]// HPCA: The 11th International Symposium on High-performance Computer Architecture. San Francisco, USA, 2005: 71–82.

    Google Scholar 

  17. SIDDIQUA T, GURUMURTHI S. Recovery boosting: A technique to enhance NBTI recovery in SRAM arrays [C]// IEEE Symposium on VLSI. Lixouri, Kefalonia, 2010: 393–398.

    Google Scholar 

  18. ZHANG C Y. Architectural level leakage power optimization for ultra-deep submicron microprocessors [D]. Changsha: National University of Defense Technology, 2006. (in Chinese)

    Google Scholar 

  19. KAXIRAS S, HU Z. MARTONOSI M. Cache decay: Exploiting generational behavior to reduce cache leakage power [C]// ISCA 2001: International Symposium of Computer Architecture. Gothenburg, Sweden, 2001: 240–251.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Zuo-cheng Xing  (邢座程).

Additional information

Foundation item: Projects(60873016, 61170083) supported by the National Natural Science Foundation of China; Project(20114307110001) supported by the Doctoral Fund of Ministry of Education of China

Rights and permissions

Reprints and permissions

About this article

Cite this article

Huang, P., Xing, Zc. Impacts of NBTI/PBTI on power gated SRAM. J. Cent. South Univ. 20, 1298–1306 (2013). https://doi.org/10.1007/s11771-013-1615-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11771-013-1615-7

Key words

Navigation