Skip to main content
Log in

SS-SERA: An improved framework for architectural level soft error reliability analysis

  • Published:
Journal of Central South University Aims and scope Submit manuscript

Abstract

Integrated with an improved architectural vulnerability factor (AVF) computing model, a new architectural level soft error reliability analysis framework, SS-SERA (soft error reliability analysis based on SimpleScalar), was developed. SS-SERA was used to estimate the AVFs for various on-chip structures accurately. Experimental results show that the AVFs of issue queue (IQ), register update units (RUU), load store queue (LSQ) and functional unit (FU) are 38.11%, 22.17%, 23.05% and 24.43%, respectively. For address-based structures, i.e., level1 data cache (L1D), DTLB, level2 unified cache (L2U), level1 instruction cache (L1I) and ITLB, AVFs of their data arrays are 22.86%, 27.57%, 14.80%, 8.25% and 12.58%, lower than their tag arrays’ AVFs which are 30.01%, 28.89%, 17.69%, 10.26% and 13.84%, respectively. Furthermore, using the AVF values obtained with SS-SERA, a qualitative and quantitative analysis of the AVF variation and predictability was performed for the structures studied. Experimental results show that the AVF exhibits significant variations across different structures and workloads, and is influenced by multiple microarchitectural metrics and their interactions. Besides, AVFs of SPEC2K floating point programs exhibit better predictability than SPEC2K integer programs.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. LI B, DUAN L, PENG L. Efficient microarchitectural vulnerabilities prediction using boosted regression trees and patient rule inductions [J]. IEEE Transactions on Computers, 2010, 59(5): 593–607.

    Article  MathSciNet  Google Scholar 

  2. WANG S, HU J, ZIAVRAS S G. On the characterization and optimization of on-chip cache reliability against soft errors [J]. IEEE Transactions on Computers, 2009, 58(9): 1171–1184.

    Article  MathSciNet  Google Scholar 

  3. WANG S, HU J, ZIAVRAS S G. Self-adaptive data caches for soft-error reliability [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2008, 27(8): 1503–1507.

    Article  Google Scholar 

  4. ERGIN O, UNSAL O S, VERA X, GONZALEZ A. Reducing soft errors through operand width aware policies [J]. IEEE Transactions on Dependable and Secure Computing, 2009, 6(3): 217–230.

    Article  Google Scholar 

  5. YOON D H, EREZ M. Memory mapped ecc: low-cost error protection for last level caches [C]// Proceedings of 36th International Symposium Computer Architecture. New York, NY, USA: ACM, 2009: 116–127.

    Google Scholar 

  6. YOON D H, EREZ M. Virtualized and flexible ECC for main memory [C]// Proceedings of the 15th Architectural Support for Programming Languages and Operating Systems. New York, NY, USA: ACM, 2010: 397–408.

    Google Scholar 

  7. KIM S. Reducing area overhead for error-protecting large L2L3 caches [J]. IEEE Transactions on Computers, 2009, 58(3): 300–310.

    Article  Google Scholar 

  8. SRIDHARAN V, ASADI H, TAHOORI M, KAELI D. Reducing data cache susceptibility to soft errors [J]. IEEE Transactions on Dependable and Secure Computing, 2006, 3(4): 353–364.

    Article  Google Scholar 

  9. MUKHERJEE S S, WEAVER C, EMER J, REINHARDT S, AUSTIN T. A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor [C]// Proceedings of the International Symposium on Microarchitecture. Washington D C, USA: IEEE Computer Society, 2003: 29–40.

    Google Scholar 

  10. WANG N J, QUEK J, RAFACZ T M, PATEL S J. Characterizing the effects of transient faults on a high-performance processor pipeline [C]// Proceedings of the International Conference on Dependable Systems and Networks. Piscataway, NJ, USA: IEEE, 2004: 61–70.

    Chapter  Google Scholar 

  11. WALCOTT K R, HUMPHREYS G, and GURUMURTHI S. Dynamic prediction of architectural vulnerability from microarchitectural state [C]// Proceedings of the International Symposium on Computer Architecture. New York, NY, USA: ACM, 2007: 516–527.

    Google Scholar 

  12. FU X, POE J, LI T, FORTES J. Characterizing microarchitecture soft error vulnerability phase behavior [C]// Proceedings of the International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems. Piscataway, NJ, USA: IEEE, 2006: 147–155.

    Google Scholar 

  13. SOUNDARARAJAN N, PARASHAR A, SIVASUBRAMANIAM A. Mechanisms for bounding vulnerabilities of processor structures [C]// Proceedings of the International Symposium on Computer Architecture. New York, NY, USA: ACM, 2007: 506–515.

    Google Scholar 

  14. BISWAS A, CHEVERESAN R, EMER J, MUKHERJEE S S, RACUNAS P B, RANGAN R. Computing architectural vulnerability factors for address-based structures [C]// Proceedings of the International Symposium on Computer Architecture. New York, NY, USA: ACM, 2005: 532–543.

    Google Scholar 

  15. FU X, LI T, FORTES J. Sim-SODA: A Framework for microarchitecture reliability analysis [C]// Proc of the Workshop on Modeling, Benchmarking and Simulation (Held in conjunction with International Symposium on Computer Architecture). 2006: 124–133.

  16. LI X, ADVE S V, BOSE P, RIVERS J A. SoftArch: An architecture-level tool for modeling and analyzing soft errors [C]// Proc of the Int Conf on Dependable Systems and Networks. Piscataway, NJ, USA: IEEE, 2005: 496–505.

    Google Scholar 

  17. BURGER D, AUSTIN T. The Simplescalar toolset, version 3.0 [EB/OL]. SimpleScalar LLC, 2003 [2011-01-05]. http://www.simplescalar.com

  18. LI X, ADVE S V, BOSE P, RIVERS A. Online estimation of architectural vulnerability factor for soft errors [C]// Proceedings of the International Symposium on Computer Architecture. New York, NY, USA: ACM, 2008: 341–352.

    Chapter  Google Scholar 

  19. DUAN L, LI B, PENG L. Versatile prediction and fast estimation of architectural vulnerability factor from processor performance metrics [C]// IEEE 15th Int Symp on High Performance Computer Architecture. Piscataway, NJ, USA: IEEE, 2009: 129–140.

    Chapter  Google Scholar 

  20. DESIKAN R, BURGER D, KECKLER S W, AUSTIN T. Sim-alpha: A validated, execution-driven alpha 21264 simulator [R]. Austin, Texas, USA: Department of Computer Science, University of Texas at Austin, 2001.

    Google Scholar 

  21. SHERWOOD T, PERELMAN E, HAMERLY G, CALDER B. Automatically characterizing large scale program behavior [C]// 10th Int Conf on Architectural Support for Programming Languages and Operating Systems. New York, NY, USA: ACM, 2002: 45–57.

    Chapter  Google Scholar 

  22. CHENG Y, WANG Y, XING Z, ZHANG M. Characterizing time-varying behavior and predictability of cache AVF [C]// 2011 Third International Conference on Intelligent Networking and Collaborative Systems. Washington D C, USA: IEEE Computer Society, 2011: 720–725.

    Chapter  Google Scholar 

  23. BUTTS J A, SOHI G. Dynamic dead-instruction detection and elimination [C]// 10th International Conference on Architectural Support for Programming Languages and Operating Systems. New York, NY, USA: ACM, 2002: 199–210.

    Chapter  Google Scholar 

  24. FAHS B, BOSE S, CRUM M, SLECHTA B, SPADINI F, TUNG T, PATEL S J, LUMETTA S S. Performance characterization of a hardware mechanism for dynamic optimization [C]// Proceedings of the 34th Annual International Symposium on Microarchitecture. Washington D C, USA: IEEE Computer Society, 2001: 16–27.

    Google Scholar 

  25. DUESTERWALD E, CASCAVAL C, DWARKADAS S. Characterizing and predicting program behavior and its variability [C]// Proceedings of the 12th International Conference on Parallel Architectures and Compilation Techniques. Washington D C, USA: IEEE Computer Society, 2003: 220–231.

    Chapter  Google Scholar 

  26. BISWAS A, RACUNAS P, EMER J, MUKHERJEE S S. Computing accurate AVFs using ACE analysis on performance models: A rebuttal [J]. IEEE Computer Architecture Letters, 2008, 7(1): 21–24.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yu Cheng  (成玉).

Additional information

Foundation item: Projects(60970036, 60873016, 61170045) supported by the National Natural Science Foundation of China; Projects(2009AA01Z102, 2009AA01Z124) supported by the National High Technology Development Program of China

Rights and permissions

Reprints and permissions

About this article

Cite this article

Cheng, Y., Ma, Ag., Wang, Yw. et al. SS-SERA: An improved framework for architectural level soft error reliability analysis. J. Cent. South Univ. 19, 3129–3146 (2012). https://doi.org/10.1007/s11771-012-1388-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11771-012-1388-4

Key words

Navigation