Skip to main content
Log in

Software tools for analyzing NBTI-induced digital circuit degradation

  • Published:
Journal of Electronics (China)

Abstract

As semiconductor manufacturing migrates to more advanced technology nodes, accelerated aging effect for nanoscale devices poses as a key challenge for designers to find countermeasures that effectively mitigate the degradation and prolong system’s lifetime. Negative Bias Temperature Instability (NBTI) is emerging as one of the major reliability concerns. Two software tools for NBTI analyzing are proposed in this paper, one for transistor-level, and the other for gate-level. The transistor-level can be used to estimate the delay degradation due to NBTI effect very accurately, while the gate-level can be used for repeat analysis in circuit optimization because of its fast computing speed.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. International Technology Roadmap for Semiconductors, 2007.

  2. K. Bernstein, D. J. Frank, A. E. Gattiker, et al.. Highperformance CMOS variability in the 65-nm regime and beyond. IBM Journal of Research and Development, 50(2006)4/5, 433–449.

    Article  Google Scholar 

  3. M. A. Alam, H. Kufluoglu, D. Varghese, et al.. A comprehensive model for PMOS NBTI degradation: Recent progress. Microelectronics Reliability, 47(2007)6, 853–862.

    Article  Google Scholar 

  4. M. A. Alam and S. Mahapatra. A comprehensive model of PMOS NBTI degradation. Microelectronics Reliability, 45(2005)1, 71–81.

    Article  Google Scholar 

  5. R. Wittmann, H. Puchner, L. Hinh, et al.. Impact of NBTI-driven parameter degradation on lifetime of a 90nm p-MOSFET. in IEEE International Integrated Reliability Workshop Final Report, S. Lake Tahoe, CA, USA, 2005, 99–102.

  6. S. Mahapatra, P. Bharath Kumar, T. R. Dalei, et al.. Mechanism of negative bias temperature instability in CMOS devices: degradation, recovery and impact of nitrogen. IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2004, 105–108.

  7. S. Mahapatra, D. Saha, D. Varghese, et al.. On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress. IEEE Transactions on Electron Devices, 53(2006)7, 1583–1592.

    Article  Google Scholar 

  8. B. C. Paul, K. Kang, H. Kufluoglu, et al.. Negative bias temperature instability: Estimation and design for improved reliability of nanoscale circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(2007)4, 743–751.

    Article  Google Scholar 

  9. S. Kumar, C. Kim, and S. Sapatnekar. An analytical model for negative bias temperature instability. International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, 2006, 493–496.

  10. S. Bhardwaj, W. Wang, R. Vattikonda, et al.. Predictive modeling of the NBTI effect for reliable design. Custom Integrated Circuits Conference (CICC), San Jose, CA, USA, 2006, 189–192.

  11. J. F. Zhang, M. H. Chang, and G. Groeseneken. Effects of measurement temperature on NBTI. IEEE Electron Device Letters, 28(2007)4, 298–300.

    Article  Google Scholar 

  12. H. Luo, Y. Wang, R. Luo, et al.. Temperature-aware NBTI modeling techniques in digital circuits. IEICE Transactions on Electronics, E92-C(2009)6, 875–886.

    Article  Google Scholar 

  13. H. Luo, Y. Wang, K. He, et al.. A novel gate-level NBTI delay degradation model with stacking effect. Lecture Notes in Computer Science, 4644(2007), 160–170.

    Article  Google Scholar 

  14. S. V. Kumar, C. H. Kim, and S. S. Sapatnekar. Impact of NBTI on SRAM read stability and design for reliability. IEEE International Symposium on Quality Electronic Design (ISQED), San Jose, CA, USA, 2006, 210–218.

  15. R. Vattikonda, W. Wang, and Y. Cao. Modeling and minimization of PMOS NBTI effect for robust nanometer design. Design Automation Conference (DAC), San Francisco, CA, USA, 2006, 1047–1052.

  16. S. V. Kumar, C. H. Kim, and S. S. Sapatnekar. NBTI-aware synthesis of digital circuits. Design Automation Conference (DAC), San Diego, CA, USA, 2007, 370–375.

  17. Y. Wang, H. Luo, K. He, et al.. Temperature-aware NBTI modeling and the impact of input vector control on performance degradation. Design, Automation & Test in Europe Conference & Exhibition (DATE), Nice, France, 2007, 546–551.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yu Wang.

Additional information

Supported by the National Key Technological Program of China (No.2008ZX01035-001), the National Natural Science Foundation of China (No.60870001) and TNList Cross-discipline Fundation.

Communication author: Wang Yu, born in 1982, male, Ph.D., Assistant Professor.

About this article

Cite this article

Luo, H., Wang, Y., Luo, R. et al. Software tools for analyzing NBTI-induced digital circuit degradation. J. Electron.(China) 26, 715–719 (2009). https://doi.org/10.1007/s11767-009-0035-1

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11767-009-0035-1

Key words

CLC index

Navigation