Skip to main content
Log in

Design and implementation of Denial-of-Service attack in network of multiprocessor systems-on-chip with anomaly detection approach

  • Special Issue Paper
  • Published:
Service Oriented Computing and Applications Aims and scope Submit manuscript

Abstract

IoT architecture based on the multiprocessor system-on-chip (MPSoC) is widely used because of the third-party intellectual property cores (3PIPs) supplied globally. Therefore, 3PIPs present an intrinsic security risk. Decentralization of the network-on-chip (NoC) architecture and its diverse links lead to Network Vulnerability, with the Flooding denial-of-service (F-DoS) attack being the most common among many. This research aims to address the vulnerability of MPSoC designs to security threats, such as DoS attacks in NoC. The main aims include designing a real-time monitoring system based on the runtime machine learning, examining the system's accuracy in attack detection, and testing the drop in system performance. Including linear regression, decision trees, and support vector machines, these models are statically trained machine learning models that help realize objectives. Such models are designed to deal with the complex cases of NoC congestion patterns, which are highly different to various application mapping combinations. The study investigates crafty learning techniques to detect F-DoS attacks in NoC-based MPSoCs, providing the results depicted in the following as the effects. This study shows the high precision of machine learning models in detecting F-DoS attacks amid the constrained dynamic of stress NoC, where different attack scenarios were considered and analyzed. Moreover, as runtime effectiveness is almost unaffected, the feasibility of real-time intrusion detection is thus confirmed. This study shows that it can improve the safety of system-on-a-chip designs by offering a new technique for identifying and dealing with F-DoS attacks, resulting in absolute system performance resilience against incremental and evolving threats in the context of NoC-based MPSoCs.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

References

  1. Özkaya Ö, Örs B (2024) Model-based, fully simulated, system-level power consumption estimation of IoT devices. Microprocess Microsyst 105:105009

    Article  Google Scholar 

  2. Sankar S, Gupta R, Jose J, Nandi S (2024) TROP: TRust-aware OPportunistic Routing in NoC with Hardware Trojans. ACM Trans Des Autom Electron Syst. 29(1):1–25

    Article  Google Scholar 

  3. Hu Z-L et al (2023) Neutron-induced single event effect in Xilinx 16nm MPSoC configuration RAM (CRAM) using white neutron and 2.72~ 81.8 meV neutron in CSNS-BL20. J Nucl Sci Technol 60(4):473–478

    Article  Google Scholar 

  4. Al-Hchaimi AAJ, Flayyih WN, Hashim F, Rusli MS, Rokhani FZ (2021) Review of 3D networks-on-chip simulators and plugins. In: 2021 IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia), pp 17–20. doi: https://doi.org/10.1109/PrimeAsia51450.2021.9701472

  5. Husin NA, Zolkepli MB, Manshor N, Al-Hchaimi AAJ, Albahri AS (2024) Routing Techniques in network-on-chip based multiprocessor-system-on-chip for IOT: a systematic review. Iraqi J Comput Sci Math 5(1):181–204

    Article  Google Scholar 

  6. Muhsen YR, Husin NA, Zolkepli MB, Manshor N, Al-Hchaimi AAJ (2023) Evaluation of the routing algorithms for NoC-Based MPSoC: a fuzzy multi-criteria decision-making approach. IEEE Access 11:102806–102827

    Article  Google Scholar 

  7. Wadday AG, Al-hchaimi AAJ, Ibrahim AJ (2020) IOT energy consumption based on PSO-shortest path techniques. Recent Adv Electr Electron Eng 13(7):993–1000

    Google Scholar 

  8. Wang H, Halak B (2023) Hardware Trojan detection and high-precision localization in NoC-Based MPSoC using machine learning. In: Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023, pp 516–521

  9. Al-Enzi SHZ, Abbas S, Abbood AA, Muhsen YR, Al-Hchaimi AAJ, Almosawi Z (2023) Exploring Research Trends of Metaverse: A Bibliometric Analysis BT - Beyond Reality: Navigating the Power of Metaverse and Its Applications. 2023, pp 21–34

  10. Sudusinghe C, Charles S, Mishra P (2021) Denial-of-service attack detection using machine learning in network-on-chip architectures. In: Proc. - 2021 15th IEEE/ACM Int. Symp. Networks-on-Chip, NOCS 2021, pp 35–40, 2021, doi: https://doi.org/10.1145/3479876.3481589

  11. Al-Hchaimi AAJ, Bin Sulaiman N, Bin Mustafa MA, Bin Mohtar MN, Mohd SLB, Muhsen YR (2022) Evaluation approach for efficient countermeasure techniques against denial-of-service attack on MPSoC-based IoT using multi-criteria decision-making. IEEE Access 11:89–206

    Article  Google Scholar 

  12. Faccenda RF, Comarú G, Caimi LL, Moraes FG (2023) A comprehensive framework for systemic security management in NoC-based many-cores. IEEE Access 11:131836–131847

    Article  Google Scholar 

  13. Al-Hchaimi AAJ, Bin Sulaiman N, Bin Mustafa MA, Bin Mohtar MN, Mohd Hassan SLB, Muhsen YR (2023) A comprehensive evaluation approach for efficient countermeasure techniques against timing side-channel attack on MPSoC-based IoT using multi-criteria decision-making methods. Egypt Inf J 24(2):351–364. https://doi.org/10.1016/j.eij.2023.05.005

    Article  Google Scholar 

  14. Chaves CG, Azad SP, Hollstein T, Sepúlveda J (2019) DoS attack detection and path collision localization in NoC-based MpsoC architectures. J Low Power Electron Appl 9(1):1–20. https://doi.org/10.3390/jlpea9010007

    Article  Google Scholar 

  15. Rizk M, Martin KJM, Diguet J-P (2022) Run-time remapping algorithm of dataflow actors on NoC-based heterogeneous MPSoCs. IEEE Trans Parallel Distrib Syst. https://doi.org/10.1109/tpds.2022.3177957

    Article  Google Scholar 

  16. Khan ZA, Abbasi U, Kim SW (2022) An efficient algorithm for mapping deep learning applications on the NoC architecture. Appl Sci 12(6):3163. https://doi.org/10.3390/app12063163

    Article  Google Scholar 

  17. Daoud L, Rafla N (2022) Efficient mitigation technique for black hole router attack in network-on-chip. Microprocess Microsyst 94:104658

    Article  Google Scholar 

  18. Mo L, Zhou Q, Kritikakou A, Liu L (2022) Energy efficient, real-time and reliable task deployment on noc-based multicores with DVFS. Date

  19. Arulananth TS et al (2021) Evaluation of low power consumption network on chip routing architecture. Microprocess Microsyst. https://doi.org/10.1016/j.micpro.2020.103809

    Article  Google Scholar 

  20. Daoud L, Rafla N (2022) Efficient mitigation technique for Black Hole router attack in Network-on-Chip. Microprocess Microsyst. https://doi.org/10.1016/j.micpro.2022.104658

    Article  Google Scholar 

  21. Chaves CG, Azad SP, Sepulveda J, Hollstein T (2019) Detecting and Mitigating Low-and-Slow DoS Attacks in NoC-based MPSoCs. In: Proc. - 2019 14th Int. Symp. Reconfigurable Commun. Syst. ReCoSoC 2019, pp 82–89, doi: https://doi.org/10.1109/ReCoSoC48741.2019.9034934

  22. Papastefanakis E, Maitre B, Ragot D (2015) Security challenges in ManyCore embedded systems based on networks-on-chip (NoCs). In: Proceedings of the WESS’15: Workshop on Embedded Systems Security, 2015, pp 1–6

  23. Sharma G, Bousdras G, Ellinidou S, Markowitch O, Dricot J-M, Milojevic D (2021) Exploring the security landscape: NoC-based MPSoC to Cloud-of-Chips. Microprocess Microsyst 84:103963

    Article  Google Scholar 

  24. Ganguly A, Ahmed MY, Vidapalapati A (2012) A denial-of-service resilient wireless NoC architecture. In: Proceedings of the great lakes symposium on VLSI, 2012, pp 259–262

  25. Muhsen YR, Husin NA, Zolkepli MB, Manshor N, Al-Hchaimi AAJ, Ridha HM (2023) Enhancing NoC-based MPSoC performance: a predictive approach with ANN and guaranteed convergence arithmetic optimization algorithm. IEEE Access 11:90143–90157

    Article  Google Scholar 

  26. Fiorin L, Palermo G, Silvano C (2008) A security monitoring service for NoCs. In: Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, pp 197–202

  27. Sepulveda MJ, Diguet J-P, Strum M, Gogniat G (2014) NoC-based protection for SoC time-driven attacks. IEEE Embed Syst Lett 7(1):7–10

    Article  Google Scholar 

  28. Grammatikakis MD et al (2015) Security in MPSoCs: a NoC firewall and an evaluation framework. IEEE Trans Comput Des Integr Circuits Syst 34(8):1344–1357. https://doi.org/10.1109/TCAD.2015.2448684

    Article  Google Scholar 

  29. Charles S, Lyu Y, Mishra P (2020) Real-time detection and localization of distributed DoS attacks in NoC-based SoCs. IEEE Trans Comput Des Integr Circuits Syst 39(12):4510–4523. https://doi.org/10.1109/TCAD.2020.2972524

    Article  Google Scholar 

  30. Sepulveda J, Aboul-Hassan D, Sigl G, Becker B, Sauer M (2018) Towards the formal verification of security properties of a Network-on-Chip router. Proc Eur Test Work. https://doi.org/10.1109/ETS.2018.8400692

    Article  Google Scholar 

  31. Frey J, Yu Q (2017) A hardened network-on-chip design using runtime hardware Trojan mitigation methods. Integr VLSI J. https://doi.org/10.1016/j.vlsi.2016.06.008

    Article  Google Scholar 

  32. Boraten T, Kodi AK (2016) Mitigation of denial of service attack with hardware trojans in NoC architectures. In: Proc. - 2016 IEEE 30th Int. Parallel Distrib. Process. Symp. IPDPS 2016, pp. 1091–1100, 2016, doi: https://doi.org/10.1109/IPDPS.2016.59

  33. Sinha M, Gupta S, Rout SS, Deb S (2020) Sniffer: a machine learning approach for dos attack sniffer : a machine learning approach for DoS attack localization in NoC-based SoCs. IEEE J Emerg Sel Topics Circuits 11(2):278–291

    Article  Google Scholar 

  34. Ji N, Zhou X, Yang Y (2023) A high-performance fully adaptive routing based on software defined network-on-chip. Microelectron J 141:105950

    Article  Google Scholar 

  35. da Silva EA, Kreutz ME, Zeferino CA (2019) RedScarf: an open-source multi-platform simulation environment for performance evaluation of Networks-on-Chip. J Syst Archit 99:101633

    Article  Google Scholar 

  36. Ciordas C, Basten T, Rǎdulescu A, Goossens K, Van Meerbergen J (2004) An event-based network-on-chip monitoring service. In: Proc. - IEEE Int. High-Level Des. Valid. Test Work. HLDVT, pp. 149–154, 2004, doi: https://doi.org/10.1109/HLDVT.2004.1431260

  37. James G, Witten D, Hastie T, Tibshirani R, Taylor J (2023) Linear regression. In: James G, Witten D, Hastie T, Tibshirani R, Taylor J (eds) An introduction to statistical learning: With applications in python. Springer, Cham, pp 69–134. https://doi.org/10.1007/978-3-031-38747-0_3

    Chapter  Google Scholar 

  38. Song Y-Y, Ying LU (2015) Decision tree methods: applications for classification and prediction. Shanghai Arch psychiatry 27(2):130

    Google Scholar 

  39. Suthaharan S (2016) Support vector machine. In: Suthaharan S (ed) Machine Learning Models and Algorithms for Big Data Classification: Thinking with Examples for Effective Learning. Springer US, Boston, MA, pp 207–235. https://doi.org/10.1007/978-1-4899-7641-3_9

    Chapter  Google Scholar 

  40. Sambasivam G, Opiyo GD (2021) A predictive machine learning application in agriculture: Cassava disease detection and classification with imbalanced dataset using convolutional neural networks. Egypt Informatics J 22(1):27–34. https://doi.org/10.1016/j.eij.2020.02.007

    Article  Google Scholar 

  41. Wentzlaff D et al (2007) On-chip interconnection architecture of the tile processor. IEEE Micro 27(5):15–31

    Article  Google Scholar 

  42. Yoon YJ, Concer N, Petracca M, Carloni LP (2013) “Virtual channels and multiple physical networks: two alternatives to improve NoC performance. IEEE Trans Comput Des Integr Circuits Syst 32(12):1906–1919. https://doi.org/10.1109/TCAD.2013.2276399

    Article  Google Scholar 

  43. Mettler M, Mueller-Gritschneder D, Schlichtmann U (2020) A distributed hardware monitoring system for runtime verification on multi-tile mpsocs. ACM Trans Archit Code Optim 18(1):1–25

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Suhad Al-Shoukry.

Ethics declarations

Conflict of interest

The author declare that they have no conflict of interests.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Al-Shoukry, S. Design and implementation of Denial-of-Service attack in network of multiprocessor systems-on-chip with anomaly detection approach. SOCA (2024). https://doi.org/10.1007/s11761-024-00394-y

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s11761-024-00394-y

Keywords

Navigation