Skip to main content
Log in

Equivalence checking between SLM and TLM using coverage directed simulation

  • Research Article
  • Published:
Frontiers of Computer Science Aims and scope Submit manuscript

Abstract

The increasing complexity of digital systems makes designers begin to design using abstract system level modeling (SLM). However, SLM brings new challenges for verification engineers to guarantee the functional equivalence between SLM specifications and lower-level implementations such as those of transaction level modeling (TLM). This paper proposes a novel method for equivalence checking between SLMand TLM based on coverage directed simulation. Our method randomly simulates an SLM model and uses an satisfiability modulo theories (SMT) solver to generate stimuli for the uncovered area with the direction of a composite coverage metric (code coverage and functional coverage). Then we run all the generated stimuli (random stimuli and direct stimuli) on both SLM and TLM designs. At the same time, the selected observation variables are compared to evaluate the equivalence between SLM and TLM. Promising experimental results show that our equivalence checking method is more efficient with lower simulation cost.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Chen M S, Mishra P. Assertion-based functional consistency checking between TLM and RTL models. In: Proceedings of VLSI Design and the 12th International Conference on Embedded Systems. 2012, 320–325

    Google Scholar 

  2. Bombieri N, Fummi F, Pravadelli G. RTL-TLM equivalence checking based on simulation. In: Proceedings of IEEE East-West Design and Test symposium (EWDTS). 2008, 214–217

    Chapter  Google Scholar 

  3. Cai L K, Gajski D. Transaction level modeling in system level design. Center for Embedded Computer Systems, 2003

    Google Scholar 

  4. Rose A, Swan S, Pierce J, Fernandez J M. Transaction level modeling in SystemC. Open SystemC Initiative, 2005

    Google Scholar 

  5. Bombieri N, Fummi F, Pravadelli G. Automatic abstraction of RTL IPs into equivalent TLM descriptions. IEEE Transactions on Computers, 2010, 60(12): 1730–1743

    Article  MathSciNet  Google Scholar 

  6. Hao K, Xie F, Ray S, Yang J. Optimizing equivalence checking for behavioral synthesis. In: Proceedings of the Conference on Design, Automation and Test in Europe. 2010, 1500–1505

    Google Scholar 

  7. Fujita M. On equivalence checking between behavioral and RTL descriptions. In: Proceedings of the 9th IEEE International High-Level Design Validation and Test Workshop. 2004, 179–184

    Google Scholar 

  8. Fujita M. Equivalence checking between behavioral and RTL descriptions with virtual controllers and datapaths. ACM Transactions on Design Automation of Electronic Systems, 2005, 10(4): 610–626

    Article  Google Scholar 

  9. Nishihara T, Matsumoto T, Fujitat M. Equivalence checking with rulebased equivalence propagation and high-level synthesis. In: Proceedings of the 11th Annual IEEE International High-Level Design Validation and Test Workshop. 2006, 162–169

    Google Scholar 

  10. Vasudevan S, Viswanath V, Abraham J A, Tu J. Sequential equivalence checking between system level and RTL descriptions. Design Automation for Embedded Systems, 2006, 12(4): 377–396

    Article  Google Scholar 

  11. Vasudevan S, Viswanath V, Abraham J A, Tu J. Automatic decomposition for sequential equivalence checking of system level and RTL descriptions. In: Proceedings of the 4th ACM and IEEE International Conference on Formal Methods and Models for Co-Design. 2006, 71–80

    Google Scholar 

  12. Zhu D, Li T, Guo Y, Li S K. 2D Decomposition sequential equivalence checking of system level and RTL descriptions. In: Proceedings of the 9th International Symposium on Quality Electronic Design. 2008, 637–642

    Google Scholar 

  13. Li T, Guo Y, Liu WW, Ma C Y. Efficient translation validation of highlevel synthesis. In: Proceedings of the 14th International Symposium on Quality Electronic Design. 2013, 516–523

    Google Scholar 

  14. Li T, Guo Y, Liu W W, Tang M S. Translation validation of scheduling in high-level synthesis. In: Proceedings of the 23rd ACM International Conference on Great Lakes Symposium on VLSI. 2013, 101–106

    Chapter  Google Scholar 

  15. Bombieri N, Fedeli A, Fummi F, Pravadelli G. Hybrid incremental ABV for functional validation in TLM design flows. IEEE Design and Test of Computer, 2007, 24(2): 140–152

    Article  Google Scholar 

  16. Bombieri N, Fummi F, Pravadelli G. Incremental ABV for functional validation of TL-to-RTL design refinement. In: Proceedings of the Conference on Design, Automation and Test in Europe. 2007, 882–887

    Google Scholar 

  17. Große D, Groß M, Kühne U, Drechsler R. Simulation-based equivalence checking between SystemC models at different levels of abstraction. In: Proceedings of the 21st Edition of the Great Lakes Symposium on Great Lakes Symposium on VLSI. 2011, 223–228

    Chapter  Google Scholar 

  18. Beizer B. Software testing techniques. New Delhi: Dreamtech Press, 2003

    Google Scholar 

  19. Stewart R. Unit test coverage as leading indicator of rework. Proceedings of EuroSTAR, 1997, 97

    Google Scholar 

  20. Frate F D, Garg P, Mathur A P, Pasquini A. On the correlation between code coverage and software reliability. In: Proceedings of the 6th International Symposium on Software Reliability Engineering. 1995, 124–132

    Google Scholar 

  21. Malaiya Y K, Li N, Bieman J, Karcich R, Skbbe B. The relationship between test coverage and reliability. In: Proceedings of the 5th IEEE International Symposium on Software Reliability Engineering. 1994, 186–195

    Google Scholar 

  22. Briand L, Pfahl D. Using simulation for assessing the real impact of test coverage on defect coverage. In: Proceedings of the 10th IEEE International Symposium on Software Reliability Engineering, 1999, 148–157

    Google Scholar 

  23. Cai X, Lyu M R. The effect of code coverage on fault detection under different testing profiles. ACM SIGSOFT Software Engineering Notes, 2005, 30(4): 1–7

    Google Scholar 

  24. Sanguinetti J, Zhang E. The relationship of code coverage metrics on high-level and RTL code. In: Proceedings of IEEE International Highlevel Design Validation and Test Workshop. 2010, 138–141

    Google Scholar 

  25. Chen M S, Mishra P, Kalita D. Automatic RTL test generation from SystemC TLM specifications. ACM Transactions on Embedded Computing Systems, 2012, 11(2): 38

    Article  Google Scholar 

  26. Cytron R, Ferrante J, Rosen B K, Wegman M N, Zadeck F K. Efficiently computing static single assignment form and the control dependence graph. ACM Transactions on Programming Languages and Systems, 1991, 13(4): 451–490

    Article  Google Scholar 

  27. Ranise S, Tinelli C. The satisfiability modulo theories library (SMTLIB). www. SMT-LIB.org, 2006, 164

    Google Scholar 

  28. De Moura L, Bjørner N. Z3: An efficient SMT solver. Lecture Notes in Computer Science, 2008, 4963: 337–340

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jian Hu.

Additional information

Jian Hu is a PhD Candidate in computer science from the National University of Defence Technology (NUDT), China, from where he received both his BS and MS in computer science in 2009 and 2012, respectively. His research interests include computer aided design, formal verification, and equivalence checking in high level design.

Tun Li is an associate professor in College of Computer, National University of Defence Technology, China, from where he received his BS,MS and PhD in computer science. His research interests include microprocessor design and verification, parallel simulation, and computer aided design.

Sikun Li is a professor in the College of Computer, National University of Defense Technology, China. His research interests include VLSI design methodology, virtual reality technology, and computer aided design.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Hu, J., Li, T. & Li, S. Equivalence checking between SLM and TLM using coverage directed simulation. Front. Comput. Sci. 9, 934–943 (2015). https://doi.org/10.1007/s11704-015-4257-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11704-015-4257-0

Keywords

Navigation