Skip to main content
Log in

Research progress on low-power artificial intelligence of things (AIoT) chip design

  • Progress
  • Published:
Science China Information Sciences Aims and scope Submit manuscript

Abstract

An artificial intelligence of things (AIoT) chip is a critical hardware component in edge devices that supports data acquisition and processing in the artificial intelligence (AI) era. In this paper, we introduce the architecture and circuit techniques for AIoT systems as well as the design challenges associated with them. To address the design challenges, we describe our design approaches to improve energy efficiency from the standpoints of system architecture, AI computing, and data acquisition circuits. Meanwhile, we present our most recent research progress and state-of-the-art AIoT chip demonstrations at a prestigious conference. Our design techniques improve power and energy efficiency by orders of magnitude on corresponding state of the arts (SOTA), allowing for an advanced AIoT chip design.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Ye L, Wang Z, Liu Y, et al. The challenges and emerging technologies for low-power artificial intelligence IoT systems. IEEE Trans Circ Syst I, 2021, 68: 4821–4834

    Google Scholar 

  2. Bell C G, Chen R, Rege S. Effect of technology on near term computer structures. Computer, 1972, 5: 29–38

    Article  Google Scholar 

  3. Warden P, Situnayake D. TinyML: Machine Learning with TensorFlow Lite on Arduino and Ultra-Low-Power Microcontrollers. Sevastopol: O’Reilly Media, 2019

    Google Scholar 

  4. Lin J, Zhu L G, Chen W-M, et al. On-device training under 256KB memory. 2022. ArXiv:2206.15472

  5. Wang X, Magno M, Cavigelli L, et al. FANN-on-MCU: an open-source toolkit for energy-efficient neural network inference at the edge of the Internet of Things. IEEE Int Things J, 2020, 7: 4403–4417

    Article  Google Scholar 

  6. Liu Y, Wang Z X, He W, et al. An 82 nW 0.53 pJ/SOP clock-free spiking neural network with 40 µ,s latency for AloT wake-up functions using ultimate-event-driven bionic architecture and computing-in-memory technique. In: Proceedings of IEEE International Solid-State Circuit Conference (ISSCC), San Francisco, 2022. 372–374

  7. Li H, Tan Z, Bao Y, et al. Energy-efficient CMOS humidity sensors using adaptive range-shift zoom CDC and power-aware floating inverter amplifier array. IEEE J Solid-State Circ, 2021, 56: 3560–3572

    Article  Google Scholar 

  8. Jing Y, Wang Z, Shen L, et al. An infomation-aware adaptive data acquisition system using level-crossing ADC with signal-dependent full scale and adaptive resolution for IoT applications. In: Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), 2023

  9. Alioto M. Enabling the Internet of Things: From Integrated Circuits to Integrated Systems. Berlin: Springer, 2017

    Book  Google Scholar 

  10. Wang Z X, Ye L, Zhang H, et al. 20.2 A 57 nW software-defined always-on wake-up chip for IoT devices with asynchronous pipelined event-driven architecture and time-shielding level-crossing ADC. In: Proceedings of IEEE International Solid-State Circuit Conference (ISSCC), San Francisco, 2020. 314–315

  11. Wang Z X, Ye L, Liu Y, et al. 12.1 A 148 nW general-purpose event-driven intelligent wake-up chip for AIoT devices using asynchronous spike-based feature extractor and convolutional neural network. In: Proceedings of IEEE International Solid-State Circuit Conference (ISSCC), San Francisco, 2021. 436–438

  12. Raychowdhury A, Tokunaga C, Beltman W, et al. A 2.3 nJ/frame voice activity detector-based audio front-end for context-aware system-on-chip applications in 32-nm CMOS. IEEE J Solid-State Circ, 2013, 48: 1963–1969

    Article  Google Scholar 

  13. Price M, Glass J, Chandrakasan A P. A low-power speech recognizer and voice activity detector using deep neural networks. IEEE J Solid-State Circ, 2018, 53: 66–75

    Article  Google Scholar 

  14. Badami K, Lauwereins S, Meert W, et al. Context-aware hierarchical information-sensing in a 6 µW 90 nm CMOS voice activity detector. In: Proceedings of IEEE International Solid-State Circuits Conference, 2015

  15. Yang M H, Yeh C H, Zhou Y Y, et al. A 1 µW voice activity detector using analog feature extraction and digital deep neural network. In: Proceedings of IEEE International Solid-State Circuits Conference, 2018. 346–348

  16. Cho M C, Oh S C, Shi Z, et al. A 142 nW voice and acoustic activity detection chip for mm-scale sensor nodes using time-interleaved mixer-based frequency scanning. In: Proceedings of IEEE International Solid-State Circuits Conference, 2019. 278–280

  17. Chen Y, Cho M C, Jeong S, et al. A dual-stage, ultra-low-power acoustic event detection system. In: Proceedings of IEEE International Workshop on Signal Processing Systems (SiPS), 2016. 213–218

  18. Jeong S, Chen Y, Jang T, et al. Always-on 12-nW acoustic sensing and object recognition microsystem for unattended ground sensor nodes. IEEE J Solid-State Circ, 2018, 53: 261–274

    Article  Google Scholar 

  19. Chen F F, Un K F, Yu W H, et al. A 108nW 0.8mm2 analog voice activity detector (VAD) featuring a time-domain CNN as a programmable feature extractor and a sparsity-aware computational scheme in 28nm CMOS. In: Proceedings of IEEE International Solid-State Circuit Conference (ISSCC), San Francisco, 2022. 368–369

  20. Wang A, Chen C, Shi C R. Design and analysis of an always-ON input-biased pA-current sub-nW mV-threshold hysteretic comparator for near-zero energy sensing. IEEE Trans Circ Syst I, 2017, 64: 2284–2294

    Google Scholar 

  21. Bong K, Choi S, Kim C, et al. A low-power convolutional neural network face recognition processor and a CIS integrated with always-on face detector. IEEE J Solid-State Circ, 2018, 53: 115–123

    Article  Google Scholar 

  22. Wannamaker R A, Lipshitz S P, Vanderkooy J, et al. A theory of nonsubtractive dither. IEEE Trans Signal Process, 2000, 48: 499–516

    Article  Google Scholar 

  23. Fredenburg J A, Flynn M P. A 90-MS/s 11-MHz-bandwidth 62-dB SNDR noise-shaping SAR ADC. IEEE J Solid-State Circ, 2012, 47: 2898–2904

    Article  Google Scholar 

  24. Um J Y, Kim Y J, Song E W, et al. A digital-domain calibration of split-capacitor DAC for a differential SAR ADC without additional analog circuits. IEEE Trans Circ Syst I, 2013, 60: 2845–2856

    Google Scholar 

  25. Swindlehurst E, Chiang S W. Histogram-based calibration of capacitor mismatch in SAR ADCs. Electron Lett, 2015, 51: 2096–2098

    Article  Google Scholar 

  26. Schreier R, Temes G C. Understanding Delta-sigma Data Converters. Hoboken: John Wiley & Sons, 2017

    Book  Google Scholar 

  27. Shu Y S, Kuo L T, Lo T Y. An oversampling SAR ADC with DAC mismatch error shaping achieving 105 dB SFDR and 101 dB SNDR over 1 kHz BW in 55 nm CMOS. IEEE J Solid-State Circ, 2016, 51: 2928–2940

    Article  Google Scholar 

  28. Chae Y, Han G. Low voltage, low power, inverter-based switched-capacitor delta-sigma modulator. IEEE J Solid-State Circ, 2009, 44: 458–472

    Article  Google Scholar 

  29. Lee I, Han G, Chae Y. A 2 mW, 50 dB DR, 10 MHz BW 5× interleaved bandpass delta-sigma modulator at 50 MHz IF. IEEE Trans Circ Syst I, 2015, 62: 80–89

    Google Scholar 

  30. Shen L X, Lu N S, Sun N. A 1V 0.25µW inverter-stacking amplifier with 1.07 noise efficiency factor. In: Proceedings of Symposium on VLSI Circuits, Kyoto, 2017. 140–141

  31. Shen L, Mukherjee A, Li S, et al. A 0.6-V tail-less inverter stacking amplifier with 0.96 PEF. In: Proceedings of Symposium on VLSI Circuits, Kyoto, 2019. 144–145

  32. Shen L, Lu N, Sun N. A 1-V 0.25-µW inverter stacking amplifier with 1.07 noise efficiency factor. IEEE J Solid-State Circ, 2018, 53: 896–905

    Article  Google Scholar 

  33. Shen L X, Shen Y, Tang X Y, et al. 3.4 A 0.01mm2 25µW 2MS/s 74dB-SNDR continuous-time pipelined-SAR ADC with 120fF input capacitor. In: Proceedings of IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, 2019. 64–66

  34. Shen L, Sun N, Shen Y, et al. A two-step ADC with a continuous-time SAR-based first stage. IEEE J Solid-State Circ, 2019, 54: 3375–3385

    Article  Google Scholar 

  35. Park K, Yeom S, Kim S Y. Ultra-low power CMOS image sensor with two-step logical shift algorithm-based correlated double sampling scheme. IEEE Trans Circ Syst I, 2020, 67: 3718–3727

    Google Scholar 

  36. Liu J, Tang X, Zhao W, et al. A 13-bit 0.005-mm2 40-MS/s SAR ADC with kT/C noise cancellation. IEEE J Solid-State Circ, 2020, 55: 3260–3270

    Article  Google Scholar 

  37. Rovere G, Fateh S, Benini L. A 2.2µW cognitive always-on wake-up circuit for event-driven duty-cycling of IoT sensor nodes. IEEE J Emerg Sel Top Circ Syst, 2018, 8: 543–554

    Article  Google Scholar 

  38. Wang Z, Ye L, Liu Y, et al. A 148nW general-purpose event-driven intelligent wake-up chip for AIoT devices using asynchronous spike-based feature extractor and convolutional neural network. In: Proceedings of IEEE International Solid-State Circuit Conference (ISSCC), San Francisco, 2021. 436–438

  39. Dong Q, Sinangil M E, Erbagci B, et al. A 351TOPS/W and 372.4GOPS compute-in-memory SRAM macro in 7nm FinFET CMOS for machine-learning applications. In: Proceedings of IEEE International Solid-State Circuit Conference, San Francisco, 2020. 242–244

  40. Biswas A, Chandrakasan A P. Conv-RAM: an energy-efficient SRAM with embedded convolution computation for low-power CNN-based machine learning applications. In: Proceedings of IEEE International Solid-State Circuit Conference, San Francisco, 2018. 488–490

  41. Yang J, Kong Y, Wang Z, et al. Sandwich-RAM: an energy-efficient in-memory BWN architecture with pulse-width modulation. In: Proceedings of IEEE International Solid-State Circuit Conference, San Francisco, 2019. 394–396

  42. Valavi H, Ramadge P J, Nestler E, et al. A 64-tile 2.4-Mb in-memory-computing CNN accelerator employing charge-domain compute. IEEE J Solid-State Circ, 2019, 54: 1789–1799

    Article  Google Scholar 

  43. Chen P, Wu M, Zhao W, et al. A 22-nm delta-sigma computing-in-memory (ΔΣCIM) SRAM macro with near-zero-mean outputs and LSB-first ADCs achieving 21.38TOPS/W for 8b-MAC edge AI processing. In: Proceedings of IEEE International Solid-State Circuit Conference, San Francisco, 2023. 140–141

  44. Ghosh-dastidar S, Adeli H. Spiking neural networks. Int J Neur Syst, 2009, 19: 295–308

    Article  Google Scholar 

  45. Liu Y, Chen Z Y, Wang Z X, et al. A 22nm 0.43pJ/SOP sparsity-aware in-memory neuromorphic computing system with hybrid spiking and artificial neural network and configurable topology. In: Proceedings of IEEE Custom Integrated Circuits Conference (CICC), 2023

  46. Wang D, Kim S, Yang M, et al. A background-noise and process-variation-tolerant 109nW acoustic feature extractor based on spike-domain divisive-energy normalization for an always-on keyword spotting device. In: Proceedings of IEEE International Solid-State Circuit Conference (ISSCC), San Francisco, 2021. 372–374

  47. Shan W W, Yang M H, Xu J M, et al. 14.1 A 510nW 0.41V low-memory low-computation keyword-spotting chip using serial FFT-based MFCC and binarized depthwise separable convolutional neural network in 28 nm CMOS. In: Proceedings of IEEE International Solid-State Circuit Conference, San Francisco, 2021. 230–232

  48. Park J, Lee J Y, Jeon D, et al. 7.6 A 65-nm 236.5 nJ/classification neuromorphic processor with 7.5% energy overhead on-chip learning using direct spike-only feedback. In: Proceedings of IEEE International Solid-State Circuit Conference, 2019

  49. Zhang Y, Xue C, Wang X, et al. Single-mode 6T CMOS SRAM macros with keeper-loading-free peripherals and row-separate dynamic body bias achieving 2.53fW/bit leakage for AIoT sensing platforms. In: Proceedings of IEEE International Solid-State Circuit Conference, San Francisco, 2022. 184–186

  50. Osada K, Saitoh Y, Ibe E, et al. 16.7fA/cell tunnel-leakage-suppressed 16Mb SRAM for handling cosmic-ray-induced multi-errors. In: Proceedings of IEEE International Solid-State Circuit Conference, San Francisco, 2022. 302–494

  51. Wang Z, Ye L, Zhang H, et al. A 57nW software-defined always-on wake-up chip for IoT devices with asynchronous pipelined event-driven architecture and time-shielding level-crossing ADC. In: Proceedings of IEEE International Solid-State Circuit Conference, 2020. 314–315

  52. Wu T F, Ho C R, Chen M S W. A flash-based non-uniform sampling ADC with hybrid quantization enabling digital antialiasing filter. IEEE J Solid-State Circ, 2017, 52: 2335–2349

    Article  Google Scholar 

  53. Weltin-Wu C, Tsividis Y. An event-driven clockless level-crossing ADC with signal-dependent adaptive resolution. IEEE J Solid-State Circ, 2013, 48: 2180–2190

    Article  Google Scholar 

  54. Park K S, Khashaba A, Abdelrahman A, et al. A second-order temperature compensated 1µW/MHz 100MHz RC oscillator with ±140ppm inaccuracy from −40°C to 95°C. In: Proceedings of IEEE Custom Integrated Circuits Conference (CICC), Austin, 2021

  55. Jiang H, Wang P H P, Mercier P P, et al. A 0.4–V 0.93-nW/kHz relaxation oscillator exploiting comparator temperature-dependent delay to achieve 94-ppm/°C stability. IEEE J Solid-State Circ, 2018, 53: 3004–3011

    Article  Google Scholar 

  56. Savanth A, Myers J, Weddell A, et al., A 0.68nW/kHz supply-independent relaxation oscillator with ±0.49%/V and 96ppm/°C stability. In: Proceedings of IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, 2017. 96–97

  57. Zhang Y, You Y, Ren W, et al. A 0.954nW 32kHz crystal oscillator in 22nm CMOS with Gm-C-based current injection control. In: Proceedings of IEEE International Solid-State Circuit Conference, San Francisco, 2023. 68–70

  58. Xu L, Jang T, Lim J, et al. A 510-pW 32-kHz crystal oscillator with high energy-to-noise-ratio pulse injection. IEEE J Solid-State Circ, 2022, 57: 434–451

    Article  Google Scholar 

  59. Kim K M, Kim S, Choi K S, et al. A sub-nW single-supply 32-kHz sub-harmonic pulse injection crystal oscillator. IEEE J Solid-State Circ, 2021, 56: 1849–1858

    Article  Google Scholar 

  60. Hsiao K J. A1.89nW/0.15V self-charged XO for real-time clock generation. In: Proceedings of IEEE International Solid-State Circuit Conference, San Francisco, 2014. 298–300

Download references

Acknowledgements

This work was supported by National Natural Science Foundation of China (Grant Nos. 92164301, 62225401) and 111 Project.

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Le Ye or Ru Huang.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Ye, L., Wang, Z., Jia, T. et al. Research progress on low-power artificial intelligence of things (AIoT) chip design. Sci. China Inf. Sci. 66, 200407 (2023). https://doi.org/10.1007/s11432-023-3813-8

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s11432-023-3813-8

Keywords

Navigation