Skip to main content
Log in

Architecture-circuit-technology co-optimization for resistive random access memory-based computation-in-memory chips

  • Progress
  • Published:
Science China Information Sciences Aims and scope Submit manuscript

Abstract

Computation-in-memory (CIM) chips offer an energy-efficient approach to artificial intelligence computing workloads. Resistive random-access memory (RRAM)-based CIM chips have proven to be a promising solution for overcoming the von Neumann bottleneck. In this paper, we review our recent studies on the architecture-circuit-technology co-optimization of scalable CIM chips and related hardware demonstrations. To further minimize data movements between memory and computing units, architecture optimization methods have been introduced. Then, we propose a device-architecture-algorithm co-design simulator to provide guidelines for designing CIM systems. A physics-based compact RRAM model and an array-level analog computing model were embedded in the simulator. In addition, a CIM compiler was proposed to optimize the on-chip dataflow. Finally, research perspectives are proposed for future development.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Xu X, Ding Y, Hu S X, et al. Scaling for edge inference of deep neural networks. Nat Electron, 2018, 1: 216–222

    Article  Google Scholar 

  2. Zhang W Q, Gao B, Tang J S, et al. Neuro-inspired computing chips. Nat Electron, 2020, 3: 371–382

    Article  Google Scholar 

  3. Zou X Q, Xu S, Chen X M, et al. Breaking the von Neumann bottleneck: architecture-level processing-in-memory technology. Sci China Inf Sci, 2021, 64: 160404

    Article  Google Scholar 

  4. Zidan M A, Strachan J P, Lu W D. The future of electronics based on memristive systems. Nat Electron, 2018, 1: 22–29

    Article  Google Scholar 

  5. Yao P, Wu H Q, Gao B, et al. Fully hardware-implemented memristor convolutional neural network. Nature, 2020, 577: 641–646

    Article  Google Scholar 

  6. Ren Y M, Tian B B, Yan M G, et al. Associative learning of a three-terminal memristor network for digits recognition. Sci China Inf Sci, 2023, 66: 122403

    Article  Google Scholar 

  7. Prezioso M, Merrikh-Bayat F, Hoskins B D, et al. Training and operation of an integrated neuromorphic network based on metal-oxide memristors. Nature, 2015, 521: 61–64

    Article  Google Scholar 

  8. Jiang Y N, Huang P, Zhou Z, et al. Circuit design of RRAM-based neuromorphic hardware systems for classification and modified Hebbian learning. Sci China Inf Sci, 2019, 62: 62408

    Article  Google Scholar 

  9. Zhao M R, Gao B, Tang J S, et al. Reliability of analog resistive switching memory for neuromorphic computing. Appl Phys Rev, 2020, 7: 011301

    Article  Google Scholar 

  10. Han R Z, Huang P, Zhao Y D, et al. Efficient evaluation model including interconnect resistance effect for large scale RRAM crossbar array matrix computing. Sci China Inf Sci, 2019, 62: 022401

    Article  Google Scholar 

  11. Chen P Y, Peng X C, Yu S M. NeuroSim: a circuit-level macro model for benchmarking neuro-inspired architectures in online learning. IEEE Trans Comput-Aided Des Integr Circ Syst, 2018, 37: 3067–3080

    Article  Google Scholar 

  12. Peng X C, Huang S S, Luo Y D, et al. DNN+NeuroSim: an end-to-end benchmarking framework for compute-in-memory accelerators with versatile device technologies. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2019

  13. Xia L X, Li B X, Tang T Q, et al. MNSIM: simulation platform for memristor-based neuromorphic computing system. In: Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016. 469–474

  14. Zhu Z H, Sun H B, Qiu K Z, et al. MNSIM 2.0: a behavior-level modeling tool for memristor-based neuromorphic computing systems. In: Proceedings of the Great Lakes Symposium on VLSI, 2020. 83–88

  15. Yu R H, Zhang W Q, Gao B, et al. CLEAR: a full-stack chip-in-loop emulator for analog RRAM based computing-in-memory system. Sci China Inf Sci, 2023. doi: https://doi.org/10.1007/s11432-022-3756-3

  16. Yao P, Wu H Q, Gao B, et al. Face classification using electronic synapses. Nat Commun, 2017, 8: 15199

    Article  Google Scholar 

  17. Gao B, Zhou Y, Zhang Q T, et al. Memristor-based analogue computing for brain-inspired sound localization with in situ training. Nat Commun, 2022, 13: 2026

    Article  Google Scholar 

  18. Zhou Y, Gao B, Zhang Q T, et al. Application of mathematical morphology operation with memristor-based computation-in-memory architecture for detecting manufacturing defects. Fundamental Res, 2022, 2: 123–130

    Article  Google Scholar 

  19. Liu Q, Gao B, Yao P, et al. A fully integrated analog ReRAM based 78.4TOPS/W compute-in-memory chip with fully parallel MAC computing. In: Proceedings of IEEE International Solid-State Circuits Conference (ISSCC), 2020. 500–502

  20. Lin Y, Hu X S, Qian H, et al. Bayesian neural network realization by exploiting inherent stochastic characteristics of analog RRAM. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2019

  21. Qin Q, Gao B, Liu Q, et al. Hybrid precoding with a fully-parallel large-scale analog RRAM array for 5G/6G MIMO communication system. In: Proceedings of International Electron Devices Meeting (IEDM), 2022

  22. Li X Q, Gao B, Lin B H, et al. First demonstration of homomorphic encryption using multi-functional RRAM arrays with a novel noise-modulation scheme. In: Proceedings of International Electron Devices Meeting (IEDM), 2022

  23. Liu Z W, Tang J S, Gao B, et al. Neural signal analysis with memristor arrays towards high-efficiency brain-machine interfaces. Nat Commun, 2020, 11: 4234

    Article  Google Scholar 

  24. Zhang W Q, Gao B, Yao P, et al. Array-level boosting method with spatial extended allocation to improve the accuracy of memristor based computing-in-memory chips. Sci China Inf Sci, 2021, 64: 160406

    Article  Google Scholar 

  25. Liao Y, Gao B, Yao P, et al. Diagonal matrix regression layer: training neural networks on resistive crossbars with interconnect resistance effect. IEEE Trans Comput-Aided Des Integr Circ Syst, 2021, 40: 1662–1671

    Article  Google Scholar 

  26. Liao Y, Gao B, Zhang W Q, et al. Parasitic resistance effect analysis in RRAM-based TCAM for memory augmented neural networks. In: Proceedings of IEEE International Memory Workshop (IMW), 2020. 1–4

  27. Liu Y Y, Zhao M R, Gao B, et al. Compact reliability model of analog RRAM for computation-in-memory device-to-system codesign and benchmark. IEEE Trans Electron Dev, 2021, 68: 2686–2692

    Article  Google Scholar 

  28. Shulaker M M, Hills G, Park R S, et al. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature, 2017, 547: 74–78

    Article  Google Scholar 

  29. Sabry A M M, Wu T F, Bartolo A, et al. The N3XT approach to energy-efficient abundant-data computing. Proc IEEE, 2019, 107: 19–48

    Article  Google Scholar 

  30. Hwang W, Wan W, Mitra S, et al. Coming up N3XT, after 2D scaling of Si CMOS. In: Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), 2018. 1–5

  31. An R, Li Y J, Tang J S, et al. A hybrid computing-in-memory architecture by monolithic 3D integration of BEOL CNT/IGZO-based CFET logic and analog RRAM. In: Proceedings of International Electron Devices Meeting (IEDM), 2022

  32. Li Y J, Tang J S, Gao B, et al. Monolithic 3D integration of logic, memory and computing-in-memory for one-shot learning. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2021

  33. Zhang W Q, Peng X C, Wu H Q, et al. Design guidelines of RRAM based neural-processing-unit: a joint device-circuit-algorithm analysis. In: Proceedings of the 56th Annual Design Automation Conference, 2019. 1–6

  34. Liu Y Y, Gao B. System and technology co-optimization for RRAM based computation-in-memory chip. In: Proceedings of International Conference on IC Design and Technology (ICICDT), 2021. 1–4

  35. Liu Y Y, Gao B, Xu F, et al. A compact model for relaxation effect in analog RRAM for computation-in-memory system design and benchmark. In: Proceedings of the 5th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), 2021. 1–3

  36. Liao Y, Gao B, Xu F, et al. A compact model of analog RRAM with device and array nonideal effects for neuromorphic systems. IEEE Trans Electron Dev, 2020, 67: 1593–1599

    Article  Google Scholar 

  37. Wu W, Wu H Q, Gao B, et al. A methodology to improve linearity of analog RRAM for neuromorphic computing. In: Proceedings of IEEE Symposium on VLSI Technology, 2018. 103–104

  38. Ma A W, Gao B, Liu Y Y, et al. Multi-scale thermal modeling of RRAM-based 3D monolithic-integrated computing-in-memory chips. In: Proceedings of International Electron Devices Meeting (IEDM), 2022

  39. Jiang H W, Huang S S, Li W T, et al. ENNA: an efficient neural network accelerator design based on ADC-free compute-in-memory subarrays. IEEE Trans Circ Syst I, 2023, 70: 353–363

    Google Scholar 

  40. Li W T, Xu P F, Zhao Y, et al. TIMELY: pushing data movements and interfaces in pim accelerators towards local and in time domain. In: Proceedings of the 47th Annual International Symposium on Computer Architecture (ISCA), 2020. 832–845

  41. Chou T, Tang W, Botimer J, et al. CASCADE: connecting RRAMs to extend analog dataflow in an end-to-end in-memory processing paradigm. In: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2019. 114–125

  42. Ueyoshi K, Papistas I A, Houshmand P, et al. DIANA: an end-to-end energy-efficient digital and analog hybrid neural network SoC. In: Proceedings of IEEE International Solid-State Circuits Conference (ISSCC), 2022. 1–3

Download references

Acknowledgements

This work was supported by National Natural Science Foundation of China (Grant Nos. 92064001, 62025111, 92264201) and Beijing Advanced Innovation Center for Integrated Circuits.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bin Gao.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Liu, Y., Gao, B., Tang, J. et al. Architecture-circuit-technology co-optimization for resistive random access memory-based computation-in-memory chips. Sci. China Inf. Sci. 66, 200408 (2023). https://doi.org/10.1007/s11432-023-3785-8

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s11432-023-3785-8

Keywords

Navigation