Skip to main content
Log in

Toward monolithic growth integration of nanowire electronics in 3D architecture: a review

  • Review
  • Published:
Science China Information Sciences Aims and scope Submit manuscript

Abstract

Quasi-one-dimensional (1D) semiconducting nanowires (NWs), with excellent electrostatic control capability, are widely regarded as advantageous channels for the fabrication of high-performance microelectronics, memories, and sensors. For example, the latest Si field-effect-transistor (FET) technology nodes, < N5 nm, use horizontally-stacked SiNWs or nanosheet channels in a gate-all-around (GAA) configuration. However, further scaling of the top-down etching fabrication is reaching physical limits, necessitating the development of new fabrication or integration technologies in monolithic three dimensional (3D) architecture to push Moore’s law forward. These new capabilities are also critical, for implementing of more advanced non von Neumann paradigms of in-memory and neuromorphic computing. For this, a versatile and highly controllable low-temperature growth integration of orderly 1D SiNW channels is desired, as it will provide an alternative or complementary new route to fabricate a multilayer of Si CMOS logics/memories in a fully 3D stacked manner. In this study, we assess the evolution and recent progress of catalytic growth strategies for ultrathin 1D channels in-plane or planar NWs, and revisit the key mechanisms and technological milestones in geometry, lattice quality, line-shape, position, and composition controls. We aim to eventually establish a reliable catalytic growth integration strategy, suitable for the fabrication of GAA FETs and the implementation of a monolithic 3D integration architecture. Finally, we also present a summary and perspectives on the current challenges and future opportunities of monolithic growth integration of NW electronics in 3D architecture.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

References

  1. LeCun Y, Bengio Y, Hinton G. Deep learning. Nature, 2015, 521: 436–444

    Article  Google Scholar 

  2. Shen Y, Harris N C, Skirlo S, et al. Deep learning with coherent nanophotonic circuits. Nat Photon, 2017, 11: 441–446

    Article  Google Scholar 

  3. Lin X, Rivenson Y, Yardimci N T, et al. All-optical machine learning using diffractive deep neural networks. Science, 2018, 361: 1004–1008

    Article  MathSciNet  MATH  Google Scholar 

  4. Kuroda T. 3D system integration in a package for artificial intelligence. In: Proceedings of IEEE Electron Devices Technology and Manufacturing Conference (EDTM), 2019. 80–81

  5. Choi C, Kim H, Kang J H, et al. Reconfigurable heterogeneous integration using stackable chips with embedded artificial intelligence. Nat Electron, 2022, 5: 386–393

    Article  Google Scholar 

  6. Shastri B J, Tait A N, de Lima T F, et al. Photonics for artificial intelligence and neuromorphic computing. Nat Photonics, 2021, 15: 102–114

    Article  Google Scholar 

  7. The AI writing on the wall. Nature Machine Intelligence, 2023, 5: 1

  8. Fan J, Han F, Liu H. Challenges of Big Data analysis. Natl Sci Rev, 2014, 1: 293–314

    Article  Google Scholar 

  9. Einav L, Levin J. Economics in the age of big data. Science, 2014, 346: 1243089

    Article  Google Scholar 

  10. Hameed K, Bajwa I S, Sarwar N, et al. Integration of 5G and block-chain technologies in smart telemedicine using IoT. J Healthcare Eng, 2021, 2021: 8814364

    Article  Google Scholar 

  11. Veloso A, Huynh-Bao T, Matagne P, et al. Nanowire &amp; nanosheet FETs for ultra-scaled, high-density logic and memory applications. Solid-State Electron, 2020, 168: 107736

    Article  Google Scholar 

  12. Iwai H, Natori K, Shiraishi K, et al. Si nanowire FET and its modeling. Sci China Inf Sci, 2011, 54: 1004–1011

    Article  Google Scholar 

  13. Hao Y, Xiang S Y, Han G Q, et al. Recent progress of integrated circuits and optoelectronic chips. Sci China Inf Sci, 2021, 64: 201401

    Article  Google Scholar 

  14. Ratnesh R K, Goel A, Kaushik G, et al. Advancement and challenges in MOSFET scaling. Mater Sci Semiconductor Processing, 2021, 134: 106002

    Article  Google Scholar 

  15. Lu W, Xie P, Lieber C M. Nanowire transistor performance limits and applications. IEEE Trans Electron Devices, 2008, 55: 2859–2876

    Article  Google Scholar 

  16. Chau R. Process and packaging innovations for Moore’s law continuation and beyond. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2019

  17. Davari B, Dennard R H, Shahidi G G. CMOS scaling for high performance and low power-the next ten years. Proc IEEE, 1995, 83: 595–606

    Article  Google Scholar 

  18. Chiang C K, Pai H, Lin J L, et al. FinFET plus: a scalable FinFET architecture with 3D air-gap and air-spacer toward the 3 nm generation and beyond. In: Proceedings of IEEE International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), 2021. 1–2

  19. Anderson J, He Y, Bahr B, et al. Integrated acoustic resonators in commercial fin field-effect transistor technology. Nat Electron, 2022, 5: 611–619

    Article  Google Scholar 

  20. Dong X Q, Li M, Zhang W R, et al. Effective gate length model for asymmetrical gate-all-around silicon nanowire transistors. Sci China Inf Sci, 2020, 63: 209402

    Article  MathSciNet  Google Scholar 

  21. Colinge J P, Lee C W, Afzalian A, et al. Nanowire transistors without junctions. Nat Nanotech, 2010, 5: 225–229

    Article  Google Scholar 

  22. Kumar A S, Deekshana M, Sreenivasulu V B, et al. Characterization for Sub-5nm Technology Nodes of Junctionless Gate-All-Around Nanowire FETs. In: Proceedings of IEEE International Conference on Computing Communication and Networking Technologies (ICCCNT), 2022. 1–5

  23. Park J Y, Yun D H, Choi Y K. Curing of hot-carrier induced damage by gate-induced drain leakage current in gate-all-around FETs. IEEE Electron Device Lett, 2019, 40: 1909–1912

    Article  Google Scholar 

  24. Miao M, Jin Y, Liao H, et al. Research on deep RIE-based through-Si-via micromachining for 3-D system-in-package integration. In: Proceedings of IEEE International Conference on Nano/Micro Engineered and Molecular Systems, 2009. 90–93

  25. Fischer P B, Morrow P, Baskaran R, et al. Future directions for through silicon vias. ECS Trans, 2011, 33: 1–9

    Article  Google Scholar 

  26. Koyanagi M, Fukushima T, Tanaka T. High-density through silicon vias for 3-D LSIs. Proc IEEE, 2009, 97: 49–59

    Article  Google Scholar 

  27. Gao G, Mirkarimi L, Fountain G, et al. Die to wafer hybrid bonding for chiplet and heterogeneous integration: die size effects evaluation-small die applications. In: Proceedings of IEEE Electronic Components and Technology Conference (ECTC), 2022. 1975–1981

  28. Beyne E, Milojevic D, Plas G V D, et al. 3D SoC integration, beyond 2.5D chiplets. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2021

  29. Liu H, Jiang F, Xue K, et al. Assembly process development of 2.5D integration for high performance processer. In: Proceedings of IEEE International Conference on Electronic Packaging Technology (ICEPT), 2015. 161–163

  30. Saraswat K C. Silicon compatible optical interconnect and monolithic 3-D integration. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2020

  31. Wang X Y, Liu C, Wei Y N, et al. Three-dimensional transistors and integration based on low-dimensional materials for the post-Moore’s law era. Mater Today, 2022. doi: https://doi.org/10.1016/j.mattod.2022.11.023

  32. Shulaker M M, Hills G, Park R S, et al. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature, 2017, 547: 74–78

    Article  Google Scholar 

  33. Lin P, Li C, Wang Z, et al. Three-dimensional memristor circuits as complex neural networks. Nat Electron, 2020, 3: 225–232

    Article  Google Scholar 

  34. Datta S, Dutta S, Grisafe B, et al. Back-end-of-line compatible transistors for monolithic 3-D integration. IEEE Micro, 2019, 39: 8–15

    Article  Google Scholar 

  35. Meng W, Xu F, Yu Z, et al. Three-dimensional monolithic micro-LED display driven by atomically thin transistor matrix. Nat Nanotechnol, 2021, 16: 1231–1236

    Article  Google Scholar 

  36. Wang P Q, Jia C C, Huang Y, et al. Van der Waals heterostructures by design: from 1D and 2D to 3D. Matter, 2021, 4: 552–581

    Article  Google Scholar 

  37. Schram T, Sutar S, Radu I, et al. Challenges of wafer-scale integration of 2D semiconductors for high-performance transistor circuits. Adv Mater, 2022, 34: 2109796

    Article  Google Scholar 

  38. Son Y, Frost B, Zhao Y, et al. Monolithic integration of high-voltage thin-film electronics on low-voltage integrated circuits using a solution process. Nat Electron, 2019, 2: 540–548

    Article  Google Scholar 

  39. Kim T, Choi C H, Hur J S, et al. Progress, challenges, and opportunities in oxide semiconductor devices: a key building block for applications ranging from display backplanes to 3D integrated semiconductor chips. Adv Mater, 2022, 34: 2204663

    Google Scholar 

  40. Zhao Y, Gobbi M, Hueso L E, et al. Molecular approach to engineer two-dimensional devices for CMOS and beyond-CMOS applications. Chem Rev, 2022, 122: 50–131

    Article  Google Scholar 

  41. Zhao Y, Wang Z, Xu G, et al. High performance indium-gallium-zinc oxide thin film transistor via interface engineering. Adv Funct Mater, 2020, 30: 2003285

    Article  Google Scholar 

  42. Hosono H. How we made the IGZO transistor. Nat Electron, 2018, 1: 428

    Article  Google Scholar 

  43. Kim D, Kim J H, Choi W S, et al. Device modeling of two-steps oxygen anneal-based submicron InGaZnO back-end-of-line field-effect transistor enabling short-channel effects suppression. Sci Rep, 2022, 12: 19380

    Article  Google Scholar 

  44. Cao Y, Bu T, Fang C, et al. High-resolution monolithic integrated tribotronic InGaZnO thin-film transistor array for tactile detection. Adv Funct Mater, 2020, 30: 2002613

    Article  Google Scholar 

  45. Park J W, Kang B H, Kim H J. A review of low-temperature solution-processed metal oxide thin-film transistors for flexible electronics. Adv Funct Mater, 2020, 30: 1904632

    Article  Google Scholar 

  46. Zhu H, Shin E S, Liu A, et al. Printable semiconductors for backplane TFTs of flexible OLED displays. Adv Funct Mater, 2020, 30: 1904588

    Article  Google Scholar 

  47. Kunii Y, Tabe M, Kajiyama K. Amorphous-Si/crystalline-Si facet formation during Si solid-phase epitaxy near Si/SiO2 boundary. J Appl Phys, 1984, 56: 279–285

    Article  Google Scholar 

  48. Saenger K L, Fogel K E, Ott J A, et al. An examination of facet formation during solid phase epitaxy of line-shaped amorphized regions in (001) and (011) Si. J Appl Phys, 2007, 101: 104908

    Article  Google Scholar 

  49. Zotov A V, Korobtsov V V. Present status of solid phase epitaxy of vacuum-deposited silicon. J Cryst Growth, 1989, 98: 519–530

    Article  Google Scholar 

  50. Jia C C, Lin Z Y, Huang Y, et al. Nanowire electronics: from nanoscale to macroscale. Chem Rev, 2019, 119: 9074–9135

    Article  Google Scholar 

  51. Güniat L, Caroff P, Fontcuberta i Morral A. Vapor phase growth of semiconductor nanowires: key developments and open questions. Chem Rev, 2019, 119: 8958–8971

    Article  Google Scholar 

  52. Barth S, Hernandez-Ramirez F, Holmes J D, et al. Synthesis and applications of one-dimensional semiconductors. Prog Mater Sci, 2010, 55: 563–627

    Article  Google Scholar 

  53. Lu W, Lieber C M. Semiconductor nanowires. J Phys D-Appl Phys, 2006, 39: 387–406

    Article  Google Scholar 

  54. Fasoli A, Milne W I. Overview and status of bottom-up silicon nanowire electronics. Mater Sci Semiconductor Processing, 2012, 15: 601–614

    Article  Google Scholar 

  55. Joshi R K, Schneider J J. Assembly of one dimensional inorganic nanostructures into functional 2D and 3D architectures. Synthesis, arrangement and functionality. Chem Soc Rev, 2012, 41: 5285–5312

    Article  Google Scholar 

  56. Baraban L, Ibarlucea B, Baek E, et al. Hybrid silicon nanowire devices and their functional diversity. Adv Sci, 2019, 6: 1900522

    Article  Google Scholar 

  57. Li Y, Qian F, Xiang J, et al. Nanowire electronic and optoelectronic devices. Mater Today, 2006, 9: 18–27

    Article  Google Scholar 

  58. Hayden O, Agarwal R, Lu W. Semiconductor nanowire devices. Nano Today, 2008, 3: 12–22

    Article  Google Scholar 

  59. Li Q, Lu N, Wang L H, et al. Advances in nanowire transistor-based biosensors. Small Methods, 2018, 2: 1700263

    Article  Google Scholar 

  60. Meng J P, Li Z. Schottky-Contacted nanowire sensors. Adv Mater, 2020, 32: 2000130

    Article  Google Scholar 

  61. Kuhn K J. Considerations for ultimate CMOS scaling. IEEE Trans Electron Devices, 2012, 59: 1813–1828

    Article  Google Scholar 

  62. Yan B, Li B, Qiao X, et al. Resistive memory-based in-memory computing: from device and large-scale integration system perspectives. Adv Intell Syst, 2019, 1: 1900068

    Article  Google Scholar 

  63. Sangwan V K, Hersam M C. Neuromorphic nanoelectronic materials. Nat Nanotechnol, 2020, 15: 517–528

    Article  Google Scholar 

  64. Jacob A P, Xie R, Sung M G, et al. Scaling challenges for advanced CMOS devices. Int J Hi Spe Ele Syst, 2017, 26: 1740001

    Article  Google Scholar 

  65. Ball P. Semiconductor technology looks up. Nat Mater, 2022, 21: 132

    Article  Google Scholar 

  66. Sebastian A, Gallo M L, Khaddam-Aljameh R, et al. Memory devices and applications for in-memory computing. Nat Nanotechnol, 2020, 15: 529–544

    Article  Google Scholar 

  67. Huang X, Liu C, Jiang Y G, et al. In-memory computing to break the memory wall*. Chin Phys B, 2020, 29: 078504

    Article  Google Scholar 

  68. Cheng Y, Guo X, Pavlidis V F. Emerging monolithic 3D integration: opportunities and challenges from the computer system perspective. Integration, 2022, 85: 97–107

    Article  Google Scholar 

  69. Dutta S, Ye H, Chakraborty W, et al. Monolithic 3D integration of high endurance multi-bit ferroelectric FET for accelerating Compute-In-Memory. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2020

  70. Daniels R K, Mallinson J B, Heywood Z E, et al. Reservoir computing with 3D nanowire networks. Neural Networks, 2022, 154: 122–130

    Article  Google Scholar 

  71. An H, Ehsan M A, Zhou Z, et al. Monolithic 3D neuromorphic computing system with hybrid CMOS and memristor-based synapses and neurons. Integration, 2019, 65: 273–281

    Article  Google Scholar 

  72. Weckx P, Ryckaert J, Litta E D, et al. Novel forksheet device architecture as ultimate logic scaling device towards 2 nm. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2019

  73. Mertens H, Ritzenthaler R, Oniki Y, et al. Forksheet FETs with bottom dielectric isolation, self-aligned gate cut, and isolation between adjacent source-drain structures. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2022

  74. Chang S W, Sung P J, Chu T Y, et al. First demonstration of CMOS inverter and 6T-SRAM based on GAA CFETs structure for 3D-IC applications. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2019

  75. Han J K, Yu J M, Choi Y K. A junctionless single transistor neuron with vertically stacked multiple nanowires for highly scalable neuromorphic hardware. IEEE Trans Electron Devices, 2022, 69: 3142–3146

    Article  Google Scholar 

  76. Chen W H, Dou C, Li K X, et al. CMOS-integrated memristive non-volatile computing-in-memory for AI edge processors. Nat Electron, 2019, 2: 420–428

    Article  Google Scholar 

  77. Zhang Z Y, Zou R J, Yu L, et al. Recent research on one-dimensional silicon-based semiconductor nanomaterials: synthesis, structures, properties and applications. Crit Rev Solid State Mater Sci, 2011, 36: 148–173

    Article  Google Scholar 

  78. Holmes J D, Johnston K P, Doty R C, et al. Control of thickness and orientation of solution-grown silicon nanowires. Science, 2000, 287: 1471–1473

    Article  Google Scholar 

  79. Amato M, Palummo M, Rurali R, et al. Silicon-germanium nanowires: chemistry and physics in play, from basic principles to advanced applications. Chem Rev, 2014, 114: 1371–1412

    Article  Google Scholar 

  80. Sun Y, Dong T, Yu L W, et al. Planar growth, integration, and applications of semiconducting nanowires. Adv Mater, 2020, 32: 1903945

    Article  Google Scholar 

  81. Yu L W, Cabarrocas P R I. Morphology control and growth dynamics of in-plane solid-liquid-solid silicon nanowires. Physica E-Low-dimensional Syst NanoStruct, 2012, 44: 1045–1049

    Article  Google Scholar 

  82. Yu L W, I Cabarrocas P R I. Growth mechanism and dynamics of in-plane solid-liquid-solid silicon nanowires. Phys Rev B, 2010, 81: 085323

    Article  Google Scholar 

  83. Yu L W, Alet P J, Picardi G, et al. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires. Phys Rev Lett, 2009, 102: 125501

    Article  Google Scholar 

  84. Tang J, Maurice J L, Chen W, et al. Plasma-assisted growth of silicon nanowires by sn catalyst: step-by-step observation. Nanoscale Res Lett, 2016, 11: 455

    Article  Google Scholar 

  85. Al-Taay H F, Mahdi M A, Parlevliet D, et al. Controlling the diameter of silicon nanowires grown using a tin catalyst. Mater Sci Semiconductor Processing, 2013, 16: 15–22

    Article  Google Scholar 

  86. Ma H G, Xu J, Chen K J, et al. Synergetic effect in rolling GaIn alloy droplets enables ultralow temperature growth of silicon nanowires at 70°C on plastics. Nanoscale, 2020, 12: 8949–8957

    Article  Google Scholar 

  87. Behroudj A, Geiger D, Strehle S. Epitaxial bottom-up growth of silicon nanowires on oxidized silicon by alloy-catalyzed gas-phase synthesis. Nano Lett, 2019, 19: 7895–7900

    Article  Google Scholar 

  88. Wagner R S, Ellis W C. Vapor-liquid-solid mechanism of single crystal growth. Appl Phys Lett, 1964, 4: 89–90

    Article  Google Scholar 

  89. Leela S, Rohini G V, Saranya K, et al. Tunable growth of semiconductor nanostructures by Plasma Enhanced Chemical Vapor Deposition - synthesis, morphological and Raman studies. Superlattices Microstruct, 2018, 122: 510–515

    Article  Google Scholar 

  90. Puglisi R A, Bongiorno C, Caccamo S, et al. Chemical vapor deposition growth of silicon nanowires with diameter smaller than 5 nm. ACS Omega, 2019, 4: 17967–17971

    Article  Google Scholar 

  91. Cui Y, Lauhon L J, Gudiksen M S, et al. Diameter-controlled synthesis of single-crystal silicon nanowires. Appl Phys Lett, 2001, 78: 2214–2216

    Article  Google Scholar 

  92. Schmidt V, Senz S, Gösele U. Diameter-dependent growth direction of epitaxial silicon nanowires. Nano Lett, 2005, 5: 931–935

    Article  Google Scholar 

  93. Wu Y, Cui Y, Huynh L, et al. Controlled growth and structures of molecular-scale silicon nanowires. Nano Lett, 2004, 4: 433–436

    Article  Google Scholar 

  94. Lauhon L J, Gudiksen M S, Lieber C M, et al. Semiconductor nanowire heterostructures. Philos Trans A: Math Phys Eng Sci, 2004, 362: 1247–1260

    Article  Google Scholar 

  95. Huang Y, Duan X, Wei Q, et al. Directed assembly of one-dimensional nanostructures into functional networks. Science, 2001, 291: 630–633

    Article  Google Scholar 

  96. Zakharov N D, Werner P, Gerth G, et al. Growth phenomena of Si and Si/Ge nanowires on Si (111) by molecular beam epitaxy. J Cryst Growth, 2006, 290: 6–10

    Article  Google Scholar 

  97. Shan Y, Fonash S J. Self-assembling silicon nanowires for device applications using the nanochannel-guided “grow-in-place” approach. ACS Nano, 2008, 2: 429–434

    Article  Google Scholar 

  98. Pevzner A, Engel Y, Elnathan R, et al. Confinement-guided shaping of semiconductor nanowires and nanoribbons: “writing with nanowires”. Nano Lett, 2012, 12: 7–12

    Article  Google Scholar 

  99. Liu X, Long Y Z, Liao L, et al. Large-scale integration of semiconductor nanowires for high-performance flexible electronics. ACS Nano, 2012, 6: 1888–1900

    Article  Google Scholar 

  100. He Z, Wang J L, Chen S M, et al. Self-assembly of nanowires: from dynamic monitoring to precision control. Acc Chem Res, 2022, 55: 1480–1491

    Article  Google Scholar 

  101. Wang D, Chang Y L, Liu Z, et al. Oxidation resistant germanium nanowires: bulk synthesis, long chain alkanethiol functionalization, and Langmuir-Blodgett assembly. J Am Chem Soc, 2005, 127: 11871–11875

    Article  Google Scholar 

  102. Yerushalmi R, Jacobson Z A, Ho J C, et al. Large scale, highly ordered assembly of nanowire parallel arrays by differential roll printing. Appl Phys Lett, 2007, 91: 203104

    Article  Google Scholar 

  103. Yu G, Cao A, Lieber C M. Large-area blown bubble films of aligned nanowires and carbon nanotubes. Nat Nanotech, 2007, 2: 372–377

    Article  Google Scholar 

  104. Fan Z, Ho J C, Jacobson Z A, et al. Wafer-scale assembly of highly ordered semiconductor nanowire arrays by contact printing. Nano Lett, 2008, 8: 20–25

    Article  Google Scholar 

  105. Freer E M, Grachev O, Duan X, et al. High-yield self-limiting single-nanowire assembly with dielectrophoresis. Nat Nanotech, 2010, 5: 525–530

    Article  Google Scholar 

  106. Yao J, Yan H, Lieber C M. A nanoscale combing technique for the large-scale assembly of highly aligned nanowires. Nat Nanotech, 2013, 8: 329–335

    Article  Google Scholar 

  107. Collet M, Salomon S, Klein N Y, et al. Large-scale assembly of single nanowires through capillary-assisted dielectrophoresis. Adv Mater, 2015, 27: 1268–1273

    Article  Google Scholar 

  108. Zhao Y, Yao J, Xu L, et al. Shape-controlled deterministic assembly of nanowires. Nano Lett, 2016, 16: 2644–2650

    Article  Google Scholar 

  109. Weber W M, Heinzig A, Trommer J, et al. Reconfigurable nanowire electronics - A review. Solid-State Electron, 2014, 102: 12–24

    Article  Google Scholar 

  110. Javey A, Friedman R S, Yan H, et al. Layer-by-layer assembly of nanowires for three-dimensional, multifunctional electronics. Nano Lett, 2007, 7: 773–777

    Article  Google Scholar 

  111. Wong W S, Raychaudhuri S, Lujan R, et al. Hybrid Si nanowire/amorphous silicon FETs for large-area image sensor arrays. Nano Lett, 2011, 11: 2214–2218

    Article  Google Scholar 

  112. Xiang J, Lu W, Hu Y, et al. Ge/Si nanowire heterostructures as high-performance field-effect transistors. Nature, 2006, 441: 489–493

    Article  Google Scholar 

  113. Takei K, Takahashi T, Ho J C, et al. Nanowire active-matrix circuitry for low-voltage macroscale artificial skin. Nat Mater, 2010, 9: 821–826

    Article  Google Scholar 

  114. Fan X H, Xu L, Li C P, et al. Effects of ambient pressure on silicon nanowire growth. Chem Phys Lett, 2001, 334: 229–232

    Article  Google Scholar 

  115. Yan H F, Xing Y J, Hang Q L, et al. Growth of amorphous silicon nanowires via a solid-liquid-solid mechanism. Chem Phys Lett, 2000, 323: 224–228

    Article  Google Scholar 

  116. Yu L, Cabarrocas P R I. Initial nucleation and growth of in-plane solid-liquid-solid silicon nanowires catalyzed by indium. Phys Rev B, 2009, 80: 085313

    Article  Google Scholar 

  117. Sun Y, Dong T G, Wang J Z, et al. Meandering growth of in-plane silicon nanowire springs. Appl Phys Lett, 2019, 114

  118. Xue Z G, Xu M K, Zhao Y L, et al. Engineering island-chain silicon nanowires via a droplet mediated Plateau-Rayleigh transformation. Nat Commun, 2016, 7: 12836

    Article  Google Scholar 

  119. Yu L W, Xu M K, Xu J, et al. In-plane epitaxial growth of silicon nanowires and junction formation on Si(100) substrates. Nano Lett, 2014, 14: 6469–6474

    Article  Google Scholar 

  120. Yu L W, Oudwan M, Moustapha O, et al. Guided growth of in-plane silicon nanowires. Appl Phys Lett, 2009, 95: 113106

    Article  Google Scholar 

  121. Xu M K, Xue Z G, Wang J, et al. Heteroepitaxial writing of silicon-on-sapphire nanowires. Nano Lett, 2016, 16: 7317–7324

    Article  Google Scholar 

  122. Xu M K, Xue Z G, Yu L W, et al. Operating principles of in-plane silicon nanowires at simple step-edges. Nanoscale, 2015, 7: 5197–5202

    Article  Google Scholar 

  123. Xue Z G, Sun M, Dong T G, et al. Deterministic line-shape programming of silicon nanowires for extremely stretchable springs and electronics. Nano Lett, 2017, 17: 7638–7646

    Article  Google Scholar 

  124. Xu M, Wang J, Xue Z, et al. High performance transparent in-plane silicon nanowire Fin-TFTs via a robust nano-droplet-scanning crystallization dynamics. Nanoscale, 2017, 9: 10350–10357

    Article  Google Scholar 

  125. Song X P, Hu R J, Xu S, et al. Highly sensitive ammonia gas detection at room temperature by integratable silicon nanowire field-effect sensors. ACS Appl Mater Interfaces, 2021, 13: 14377–14384

    Article  Google Scholar 

  126. Yuan R R, Qian W T, Liu Z G, et al. Designable integration of silicide nanowire springs as ultra-compact and stretchable electronic interconnections. Small, 2022, 18: 2104690

    Article  Google Scholar 

  127. Dong T G, Wu Z L, Li F L, et al. Helical stacking assembly of orderly silicon nanowire multilayers for ultrastrong dissymmetrical amplification of circularly polarized light. Adv Opt Mater, 2022, 10: 2201105

    Article  Google Scholar 

  128. Madras P, Dailey E, Drucker J. Spreading of liquid AuSi on vapor-liquid-solid-grown Si nanowires. Nano Lett, 2010, 10: 1759–1763

    Article  Google Scholar 

  129. Zhang T, Hu R J, Zhang S B, et al. Superfast growth dynamics of high-quality silicon nanowires on polymer films via self-selected laser-droplet-heating. Nano Lett, 2021, 21: 569–576

    Article  Google Scholar 

  130. Wallentin J, Borgströom M T. Doping of semiconductor nanowires. J Mater Res, 2011, 26: 2142–2156

    Article  Google Scholar 

  131. Dayeh S A, Chen R, Ro Y G, et al. Progress in doping semiconductor nanowires during growth. Mater Sci Semiconductor Processing, 2017, 62: 135–155

    Article  Google Scholar 

  132. Moutanabbir O, Isheim D, Blumtritt H, et al. Colossal injection of catalyst atoms into silicon nanowires. Nature, 2013, 496: 78–82

    Article  Google Scholar 

  133. Chen W H, Yu L W, Misra S, et al. Incorporation and redistribution of impurities into silicon nanowires during metal-particle-assisted growth. Nat Commun, 2014, 5: 4134

    Article  Google Scholar 

  134. Dong T, Sun Y, Wang J, et al. Bismuth-catalyzed n-type doping and growth evolution of planar silicon nanowires. Appl Phys Lett, 2020, 117: 243103

    Article  Google Scholar 

  135. Sun Y, Qian W T, Liu S S, et al. Unexpected phosphorus doping routine of planar silicon nanowires for integrating CMOS logics. Nanoscale, 2021, 13: 15031–15037

    Article  Google Scholar 

  136. Hu R J, Yu L W. Review on 3D growth engineering and integration of nanowires for advanced nanoelectronics and sensor applications. Nanotechnology, 2022, 33: 222002

    Article  Google Scholar 

  137. Wu X X, Ma H G, Yin H, et al. 3D sidewall integration of ultrahigh-density silicon nanowires for stacked channel electronics. Adv Electron Mater, 2019, 5: 1800627

    Article  Google Scholar 

  138. Goldberger J, Hochbaum A I, Fan R, et al. Silicon vertically integrated nanowire field effect transistors. Nano Lett, 2006, 6: 973–977

    Article  Google Scholar 

  139. Rosaz G, Salem B, Pauc N, et al. Vertically integrated silicon-germanium nanowire field-effect transistor. Appl Phys Lett, 2011, 99: 193107

    Article  Google Scholar 

  140. Chen L, Cai F, Otuonye U, et al. Vertical Ge/Si core/shell nanowire junctionless transistor. Nano Lett, 2016, 16: 420–426

    Article  Google Scholar 

  141. Nayfeh O M, Antoniadis D A, Boles S, et al. Formation of single tiers of bridging silicon nanowires for transistor applications using vapor-liquid-solid growth from short silicon-on-insulator sidewalls. Small, 2009, 5: 2440–2444

    Article  Google Scholar 

  142. Dávila D, Tarancón A, Calaza C, et al. Monolithically integrated thermoelectric energy harvester based on silicon nanowire arrays for powering micro/nanodevices. Nano Energy, 2012, 1: 812–819

    Article  Google Scholar 

  143. Xiao Z R, Wang Q, Zhu H L, et al. Vertical C-shaped-channel nanosheet FETs featured with precise control of both channel-thickness and gate-length. IEEE Electron Device Lett, 2022, 43: 1183–1186

    Article  Google Scholar 

  144. Li C, Zhu H, Zhang Y, et al. First demonstration of novel vertical gate-all-around field-effect-transistors featured by self-aligned and replaced high-κ metal gates. Nano Lett, 2021, 21: 4730–4737

    Article  Google Scholar 

  145. Han J K, Oh J, Yu J M, et al. A vertical silicon nanowire based single transistor neuron with excitatory, inhibitory, and myelination functions for highly scalable neuromorphic hardware. Small, 2021, 17: 2103775

    Article  Google Scholar 

  146. Xu S, Hu R, Wang J, et al. Terrace-confined guided growth of high-density ultrathin silicon nanowire array for large area electronics. Nanotechnology, 2021, 32: 265602

    Article  Google Scholar 

  147. Lee B H, Kang M H, Ahn D C, et al. Vertically integrated multiple nanowire field effect transistor. Nano Lett, 2015, 15: 8056–8061

    Article  Google Scholar 

  148. Lee B H, Hur J, Kang M H, et al. A vertically integrated junctionless nanowire transistor. Nano Lett, 2016, 16: 1840–1847

    Article  Google Scholar 

  149. Hu R J, Ma H G, Yin H, et al. Facile 3D integration of Si nanowires on Bosch-etched sidewalls for stacked channel transistors. Nanoscale, 2020, 12: 2787–2792

    Article  Google Scholar 

  150. Hu R J, Xu S, Wang J Z, et al. Unprecedented uniform 3D growth integration of 10-layer stacked Si nanowires on tightly confined sidewall grooves. Nano Lett, 2020, 20: 7489–7497

    Article  Google Scholar 

  151. Mochizuki S, Bhuiyan M, Zhou H, et al. Stacked gate-all-around nanosheet pFET with highly compressive strained Si1-xGex channel. In: Proceedings of IEEE International Electron Devices Meeting (IEDM), 2020

  152. Hu R J, Liang Y F, Qian W T, et al. Ultra-confined catalytic growth integration of sub-10 nm 3D stacked silicon nanowires via a self-delimited droplet formation strategy. Small, 2022, 18: 2204390

    Article  Google Scholar 

Download references

Acknowledgements

This work was supported by National Key Research Program of China (Grant Nos. 92164201 61921005), National Natural Science Foundation of China (Grant Nos. 61974064 11874198), and Micro-fabrication and Integration Technology Center of Nanjing University.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Linwei Yu.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Liang, L., Hu, R. & Yu, L. Toward monolithic growth integration of nanowire electronics in 3D architecture: a review. Sci. China Inf. Sci. 66, 200406 (2023). https://doi.org/10.1007/s11432-023-3774-y

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s11432-023-3774-y

Keywords

Navigation