Skip to main content
Log in

Silicon photonic network-on-chip and enabling components

  • Published:
Science China Technological Sciences Aims and scope Submit manuscript

Abstract

As the transistor’s feature scales down and the integration density of the monolithic circuit increases continuously, the traditional metal interconnects face significant performance limitation to meet the stringent demands of high-speed, low-power and low-latency data transmission for on- and off-chip communications. Optical technology is poised to resolve these problems. Due to the complementary metal-oxide-semiconductor (CMOS) compatible process, silicon photonics is the leading candidate technology. Silicon photonic devices and networks have been improved dramatically in recent years, with a notable increase in bandwidth from the megahertz to the multi-gigahertz regime in just over half a decade. This paper reviews the recent developments in silicon photonics for optical interconnects and summarizes the work of our laboratory in this research field.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Beausoleil R G, Kuekes P J, Snider G S, et al. Nanoelectronic and Nanophotonic Interconnect. IEEE Proc, 2008, 96: 230–247

    Article  Google Scholar 

  2. Ho R, Mai K W, Horowitz M A. The future of wires. IEEE Proc, 2001, 89: 490–504

    Article  Google Scholar 

  3. Davis J A, Venkatesan R, Kaloyeros A, et al. Interconnect limits on gigascale integration (GSI) in the 21st century. IEEE Proc, 2001, 89: 305–324

    Article  Google Scholar 

  4. Meindl J D, Davis J A, Zarkesh-Ha P, et al. Interconnect opportunities for gigascale integration. IEEE Micro, 2003, 46: 28–35

    Article  Google Scholar 

  5. Haurylau M, Chen C Q, Chen H, et al. On-chip optical interconnect roadmap: Challenges and critical directions, IEEE J Select Topics Quantum Electron, 2007, 12: 1699–1705

    Article  Google Scholar 

  6. Pavesi L, Guillot G. Optical Interconnects-The Silicon Approach. Berlin, Heidelberg: Springer-Verlag, 2006

    Book  Google Scholar 

  7. Benner F, Ignatowski M, Kash J A, et al. Exploitation of optical interconnects in future server architectures. IBM J Res & Develop, 2005, 49: 755–775

    Article  Google Scholar 

  8. Shacham K, Bergman K, Carloni L P, et al. Photonic networks-onchip for future generations of chip multiprocessors. IEEE Trans Comput, 2008, 57: 1246–1260

    Article  MathSciNet  Google Scholar 

  9. Doylend J K, Knights A P. The evolution of silicon photonics as an enabling technology for optical interconnection. Laser Photonics Rev, 2012, 6: 504–525

    Article  Google Scholar 

  10. Vantreasey D, Schreiberz R, Monchieroz M, et al. Corona: System implications of emerging nanophotonic technology. In: Computer Architecture, ISCA’ 08. 35th International Symposium, Beijing, China, 2008

  11. Beausoleil R G, Fiorentino M, Ahn J, et al. A Nanophotonic interconnect for high-performance many-core computation. In: Group IV Photonics, 5th IEEE International Conference, Sorrento, Italy, 2008

  12. Beausoleil R G, Faraon A, Fattal D, et al. Devices and architectures for large scale integrated silicon photonics circuits. In: IEEE Winter Topicals (WTM), 2011

  13. Kirman N, Kirman M, Dokania R K, et al. On-chip optical technology in future bus-based multicore designs. IEEE Micro, 2007, 27: 56–66

    Article  Google Scholar 

  14. Lee B G, Biberman A, Chan J, et al. High-performance modulators and switches for silicon photonic networks-on-chip. IEEE J Select Topics Quantum Electron, 2010, 16: 6–22

    Article  Google Scholar 

  15. Chan J, Hendry G, Biberman A, et al. Architectural exploration of chip-scale photonic interconnection network designs using physical-layer analysis. J Lightwave Tech, 2010, 28: 1305–1315

    Article  Google Scholar 

  16. Hadke A, Benavides T, Yoo S J B, et al. OCDIMM: Scaling the DRAM memory wall using WDM based optical interconnects. In: The 16th IEEE Symposium on High Perform Interconnects, Stanford, USA, 2008

  17. Batten C, Joshi1 A, Orcutt J, et al. Building many-core processor-to-DRAM networks with monolithic silicon photonics. In: The 16th IEEE Symposium on High Perform Interconnects, Stanford, USA, 2008

  18. Zhang L, Tan X, Yang M, et al. Circuit-switched on-chip photonic interconnection network. In: The 9th IEEE International Conference on Group IV Photonics, San Diego, USA, 2012

  19. Ibrahim S, Fontaine N K, Djordjevic S S, et al. Demonstration of a fast-reconfigurable silicon CMOS optical lattice filter. Opt Express, 2011, 19: 13245–13256

    Article  Google Scholar 

  20. Giuntoni I, Balladares P, Steingrüber R, et al. WDM multi-channel filter based on sampled gratings in silicon-on-insulator. In: Optical Fiber Communication Conference, Los Angeles, USA, 2011.

  21. Orlandi P, Ferrari C, John Strain M, et al. Reconfigurable silicon filter with continuous bandwidth tenability. Opt Lett, 2012, 37: 3669–3671

    Article  Google Scholar 

  22. Ding Y, Pu M, Liu L, et al. Bandwidth and wavelength-tunable optical bandpass filter based on silicon microring-MZI structure. Opt Express, 2011, 19: 6462–6470

    Article  Google Scholar 

  23. Ding W, Staines O K, Hobbs G D, et al. Modulational instability in a silicon-on-insulator directional coupler: Role of the coupling-induced group velocity dispersion. Opt Lett, 2012, 37: 668–670

    Article  Google Scholar 

  24. Dai D, Bowers J E. Ultrashort and ultrabroadband silicon polarization beam splitter based on a bent directional coupler. In: Asia Communications and Photonics Conference and Exhibition, Shanghai, China, 2011

  25. Ortega-Monux A, Zavargo-Peche L, Maese-Novo A, et al. Highperformance multimode interference coupler in silicon waveguides with subwavelength structures. IEEE Photon Tech Lett, 2011, 23: 1406–1408

    Article  Google Scholar 

  26. Halir R, Roelkens G, Ortega-Moñux A, et al. High-performance 90 hybrid based on a silicon-on-insulator multimode interference coupler. Opt Lett, 2011, 36: 178–180

    Article  Google Scholar 

  27. Dai D, Wang Z, Peters J et al. Compact polarization beam splitter using an asymmetrical Mach-Zehnder interferometer based on silicon-on-insulator waveguides. IEEE Photon Tech Lett, 2012, 24: 673–675

    Article  Google Scholar 

  28. Gutierrez, Brimont A, Rasigade G, et al. Ring-assisted Mach-Zehnder interferometer silicon modulator for enhanced performance. J Lightwave Tech, 2012, 30: 9–14

    Article  Google Scholar 

  29. Wang L, Bogaerts W, Dumon P, et al. Athermal arrayed waveguide gratings in silicon-on-insulator by overlaying a polymer cladding on narrowed arrayed waveguides. Appl Opt, 2012, 51: 1251–1256

    Article  Google Scholar 

  30. Cheung S T, Guan B, Djordjevic S S, et al. Low-loss and high contrast silicon-on-insulator (SOI) arrayed waveguide grating. In: CLEO: Science and Innovations, San Jose, USA, 2012

  31. Tran Q V, Combrie S, Colman P, et al. Photonic crystal membrane waveguides with low insertion losses. Appl Phys Lett, 2009, 95: 061105

    Article  Google Scholar 

  32. Lin C Y, Subbaraman H, Hosseini A, et al. Silicon nanomembrane based photonic crystal waveguide array for wavelength-tunable true-time-delay lines. Appl Phys Lett, 2012, 101: 51101

    Article  Google Scholar 

  33. Lai W C, Chakravarty S, Zou Y, et al. Silicon nanomembrane based photonic crystal microcavities for high sensitivity biosensing. Opt Lett, 2012, 37: 1208–1210

    Article  Google Scholar 

  34. Desiatov B, Goykhman I, Levy U. Parabolic tapered photonic crystal cavity in silicon, Appl Phys Lett, 2012, 100: 41112

    Article  Google Scholar 

  35. Soref R, Bennett B. Electrooptical effects in silicon. IEEE J Quantum Electron, 1987, 23: 123–129

    Article  Google Scholar 

  36. Rong, H, Xu S, Kuo Y-H, et al. Low-threshold continuous-wave Raman silicon laser. Nat Photonics, 2007, 1: 232–237

    Article  Google Scholar 

  37. Liu J, Sun X, Aguilera- C, et al. Ge-on-Si laser operating at room temperature. Opt Lett, 2010, 35: 679–681

    Article  Google Scholar 

  38. Gardes F Y, Brimont A, Sanchis P, et al. High-speed modulation of a compact silicon ring resonator based on a reverse-biased pn diode. Opt Express, 2009, 17: 21986–21991

    Article  Google Scholar 

  39. Dong P, Liao S, Feng D, at al. Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator. Opt Express, 2009, 17: 22484–22490

    Article  Google Scholar 

  40. You J-B, Park M, Park J-W, at al. 12.5 Gbps optical modulation of silicon racetrack resonator based on carrier-depletion in asymmetric p-n diode. Opt Express, 2008, 16: 18340–18344

    Article  Google Scholar 

  41. Park J W, You J-B, Kim I G, et al. High-modulation efficiency silicon Mach-Zehnder optical modulator based on carrier depletion in a PN Diode. Opt Express, 17: 15520–15524

  42. Narasimha A, Abdalla S, Bradbury C, et al. An ultra low power CMOS photonics technology platform for H/S optoelectronic transceivers at less than $1 per Gbps. In: Optical Fiber Communication Conference, San Diego, USA, 2010

  43. Liow T-Y, Ang K-W, Fang Q, et al. Silicon modulators and germanium photodetectors on SOI: Monolithic integration, compatibility, and performance optimization. IEEE J Select Topics Quantum Electron, 2010, 16: 307–315

    Article  Google Scholar 

  44. Feng N, Liao S, Feng D, et al. High speed carrier-depletion modulators with 1.4V-cm VπL integrated on 0.25μm silicon-on-insulator waveguides. Opt Express, 2010, 18: 7994–7999

    Article  Google Scholar 

  45. Gill D M, Rasras M, Tu K-Y, et al. Internal bandwidth equalization in a CMOS-compatible Si-ring modulator. IEEE Photon Tech Lett, 2009, 21: 200–202

    Article  Google Scholar 

  46. Yu H, Bogaerts W, Komorowska K, et al. Doping geometries for 40G carrier-depletion-based silicon optical modulators. In: Optical Fiber Communication Conference (OFC), Los Angeles, USA, 2012

  47. Zhu S, Lo G Q, Kwong D L. Electro-absorption modulation in horizontal metal-insulator-silicon-insulator-metal nanoplasmonic slot waveguides. Appl Phys Lett, 2011, 99: 151114

    Article  Google Scholar 

  48. Jutzi M, Berroth M, Wohl G., et al. Ge-on-Si vertical incidence photodiodes with 39-GHz bandwidth. IEEE Photon Tech Lett, 2005, 17: 1510–1512

    Article  Google Scholar 

  49. Oehme M., Werner J, Kasper E, et al. High bandwidth Ge p-i-n photodetector integrated on Si. Appl Phys Lett, 2006, 89: 071117

    Article  Google Scholar 

  50. Rouviere M, Vivien L, Roux X L, et al. Ultrahigh speed germanium-on-silicon-on-insulator photodetector for 1.31 and 1.55 μm operation. Appl Phys Lett, 2005, 87: 231109

    Article  Google Scholar 

  51. Huang Z, Kong N, Guo X, et al. 21-GHz-bandwidth germanium-on-silicon photodiode using thin SiGe buffer layers. IEEE J Select Topics Quantum Electron, 2006, 12: 1450–1454

    Article  Google Scholar 

  52. Loh T H, Nguyen H S, Murthy R, et al. Selective epitaxial germanium on silicon-on-insulator high speed photodetectors using lowtemperature ultrathin Si0.8Ge0.2 buffer. Appl Phys Lett, 2007, 91: 073503

    Article  Google Scholar 

  53. Xia F, Mueller T, Lin Y, et al. Ultrafast graphene photodetector. Nat Nanotech, 2009, 4: 839–843

    Article  Google Scholar 

  54. Little B E, Chu S T, Haus H A, J. et al. Microring resonator channel dropping filters. J Lightwave Tech, 1997, 15: 998–1005

    Article  Google Scholar 

  55. Kochar C, Kodi A, Louri A. Proposed low-power high-speed microring resonator-based switching technique for dynamically reconfigurable optical interconnects. IEEE Photon Tech Lett, 2007, 19: 1304–1306

    Article  Google Scholar 

  56. Goebuchi Y, Hisada M, Kato T, et al. Optical cross-connect circuit using hitless wavelength selective switch. Opt Express, 2008, 16: 535–548

    Article  Google Scholar 

  57. Sun Y, Fan X. Analysis of ring resonators for chemical vapor sensor development. Opt Express, 2008, 16: 10254–10268

    Article  Google Scholar 

  58. Zhang L, Yang M, Jiang Y, et al. Generalized wavelength routed optical micronetwork in network-on-chip. In: Proceedings of the 18th IASTED International Conference (Parallel and Distributed Computing and Systems), Dallas, USA, 2006

  59. Zhang L, Yang M, Jiang Y, et al. Architectures and routing schemes for optical network-on-chips. Comput Elec Eng, 2009, 35: 856–877

    Article  MATH  Google Scholar 

  60. Zhang L, Tan X, Yang M, et al. On-chip wavelength-routed photonic networks with comb switches. In: The 9th IEEE International Conference on Group IV Photonics, San Diego, USA, 2012

  61. Hu T, Wang W, Qiu C, et al. Thermally tunable filters based on third-order microring resonators for WDM applications. IEEE Photon Tech Lett, 2012, 24: 524–526

    Article  Google Scholar 

  62. Qiu C, Hu T, Wang W, et al. Channel-selectable optical link based on a silicon microring for on-chip interconnection. Chin Phys Lett, 2012, 29: 094204

    Article  Google Scholar 

  63. Sherwood-droz N, Wang H, Chen L, et al. Optical 4×4 hitless silicon router for optical Networks-on-Chip (NoC). Opt Express, 2008, 16: 15915–15922

    Article  Google Scholar 

  64. Tan X, Yang M, Zhang L, et al. On a scalable, non-blocking optical router for photonic networks-on-chip designs. In: Symposium on Photonics and Optoelectronics (SOPO), Wuhan, China, 2011

  65. Tan X, Yang M, Zhang L, et al. A generic optical router design for photonic pnNetwork-on-chips. J Lightwave Tech, 2012, 30: 368–376

    Article  Google Scholar 

  66. Hu T, Qiu H, Yu P, et al. Wavelength-selective 4×4 nonblocking silicon optical router for networks-on-chip. Opt Lett, 2011, 36: 4710–4712

    Article  Google Scholar 

  67. Kazmierczak A, Bogaerts W, Drouard E, et al. Highly integrated optical 4×4 crossbar in silicon-on-insulator technology. J Lightwave Tech, 2009, 27: 3317–3323

    Article  Google Scholar 

  68. hou L, Djordjevic S, Proietti R, et al. Design and evaluation of an arbitration-free passive optical crossbar for on-chip interconnection networks. Appl Phys A, 2009, 95: 1111–1118

    Article  Google Scholar 

  69. Xu X, Chen S, Sun Y, et al. High-speed 2×2 silicon-based electro-optic switch with nanosecond switch time, Chin Phys B, 2009, 18: 3900–3904

    Article  Google Scholar 

  70. Campenhout J, Green W, Assefa S, et al. Low-power, 2×2 silicon electro-optic switch with 110-nm bandwidth for broadband reconfigurable optical networks. Opt Express, 2009, 17: 24020–24029

    Article  Google Scholar 

  71. Lira H L R, Manipatruni S, Lipson M. Broadband hitless silicon electro-optic switch for on-chip optical networks. Opt Express, 2009, 17: 22271–22280

    Article  Google Scholar 

  72. Xiao X, Xu H, Zhou L, et al. Sub-nanosecond silicon-on-insulator optical micro-ring switch with low crosstalk. Chin Opt Lett, 2010, 8: 757–760

    Article  Google Scholar 

  73. Dong P, Liao S, Liang H, et al. Submilliwatt, ultrafast and broadband electro-optic silicon switches. Opt Express, 2010, 18: 25225–25231

    Article  Google Scholar 

  74. Sun P, Reano R M. Submilliwatt thermo-optic switches using freestanding silicon-on-insulator strip waveguides. Opt Express, 2010, 18: 8406–8411

    Article  Google Scholar 

  75. Lira H L R, Manipatruni S, Lipson M. Broadband hitless silicon electro-optic switch for on-chip optical networks. Opt Express, 2009, 17: 22271–22280

    Article  Google Scholar 

  76. Vlasov Y, Green W M J, Xia F. High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks. Nat Photonics, 2008, 2: 242–246

    Article  Google Scholar 

  77. Yang M, Green W M J, Assefa S, et al. Non-blocking 4x4 electro-optic silicon switch for on-chip photonic networks. Opt Express, 2011, 19: 47–54

    Article  Google Scholar 

  78. Wang W, Zhao Y, Zhou H, et al. Mach-Zehnder based 2×2 electro-optical switches on silicon-on-insulator with low crosstalk. In: The 9th Proc Group IV Photonics, San Diego, USA, 2012

  79. Wang W, Zhou H, Yang J, et al. Highly integrated 3×3 silicon thermo-optical switch using a single combined phase shifter for optical interconnects. Opt Lett, 2009, 37: 2307–2309

    Article  Google Scholar 

  80. Zhou H, Wang W, Yang J, et al. Electro-optical logic application of multimode interference coupler by multivalued controlling. Appl Opt, 2011, 50: 2299–2304

    Article  Google Scholar 

  81. Harjanne M, Kapulainen M, Aalto T, et al. Sub-μs Switching time in Silicon-on-insulator Mach-Zehnder thermooptic switch. IEEE Photon Tech Lett, 2004, 16: 2039–2041

    Article  Google Scholar 

  82. Ding R, Baehr-Jones T, Liu Y, et al. Demonstration of a low VπL modulator with GHz bandwidth based on electro-optic polymer-clad silicon slot waveguides. Opt Express, 2010, 18: 15618–15623

    Article  Google Scholar 

  83. Wang W, Zhou H, Zhao Y, et al. Four-port broadband optical router based on 1×3 optical switches. In: The 1st Proc Optical Interconnects, Santa Fe, USA, 2012

  84. Wang W, Zhao Y, Li Y, et al. A CMOS compatible 1×3 optical switch based on silicon on insulator. In: The 7th Proc Group IV Photonics, Beijing, China, 2010

  85. Wang W, Zhao Y, Zhou H, et al. CMOS-compatible 1×3 silicon electrooptic switch with low crosstalk. IEEE Photon Tech Lett, 2009, 23: 751–753

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to JianYi Yang.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Hu, T., Qiu, C., Yu, P. et al. Silicon photonic network-on-chip and enabling components. Sci. China Technol. Sci. 56, 543–553 (2013). https://doi.org/10.1007/s11431-012-5112-2

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11431-012-5112-2

Keywords

Navigation